Weiping Shi - Publications

Affiliations: 
Texas A & M University, College Station, TX, United States 
Area:
Electronics and Electrical Engineering

30 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2016 Zhang Y, Ren H, Lu X, He D, Han Y, Wang H, Zeng C, Shi W. Inhibition of D4 Dopamine Receptors on Insulin Receptor Expression and Effect in Renal Proximal Tubule Cells. Journal of the American Heart Association. 5. PMID 27107134 DOI: 10.1161/JAHA.115.002448  0.326
2016 Zhou Y, Zhang Y, Sarin V, Qiu W, Shi W. Macro Model of Advanced Devices for Parasitic Extraction Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 35: 1721-1729. DOI: 10.1109/Tcad.2016.2524577  0.309
2015 Wu Q, Zhang R, Wang H, You Y, Zhong Z, Hong M, Fang J, Li W, Shi W, Lu X, Hu Y, Xia L. Comparison of outcomes of idarubicin intensified TBI-CY and traditional TBI-CY conditioning regimen for high-risk acute lymphoblastic leukemia undergoing allogeneic hematopoietic stem cell transplantation: A single center experience. Leukemia Research. PMID 26340915 DOI: 10.1016/J.Leukres.2015.08.015  0.331
2014 Zhang Y, Yi P, Chen W, Ming J, Zhu B, Li Z, Shen N, Shi W, Ke J, Zhao Q, Lu X, Xun X, Liu L, Song R, Guo H, et al. Association between polymorphisms within the susceptibility region 8q24 and breast cancer in a Chinese population. Tumour Biology : the Journal of the International Society For Oncodevelopmental Biology and Medicine. 35: 2649-54. PMID 24414391 DOI: 10.1007/S13277-013-1348-0  0.344
2013 Gong P, Xu X, Shi J, Ni L, Huang Q, Xia L, Nie D, Lu X, Chen J, Shi W. Phosphorylation of mitogen- and stress-activated protein kinase-1 in astrocytic inflammation: a possible role in inhibiting production of inflammatory cytokines. Plos One. 8: e81747. PMID 24349124 DOI: 10.1371/journal.pone.0081747  0.322
2013 Wang Z, Feng K, Yue M, Lu X, Zheng Q, Zhang H, Zhai Y, Li P, Yu L, Cai M, Zhang X, Kang X, Shi W, Xia X, Chen X, et al. A non-synonymous SNP in the NOS2 associated with septic shock in patients with sepsis in Chinese populations. Human Genetics. 132: 337-46. PMID 23192595 DOI: 10.1007/s00439-012-1253-4  0.352
2012 Zhu J, Shi W, Xiao N, Rui X, Tan H, Lu X, Hng HH, Ma J, Yan Q. Oxidation-etching preparation of MnO2 tubular nanostructures for high-performance supercapacitors. Acs Applied Materials & Interfaces. 4: 2769-74. PMID 22496508 DOI: 10.1021/am300388u  0.37
2012 Li Z, Zhou Y, Shi W. $O(mn)$ Time Algorithm for Optimal Buffer Insertion of Nets With $m$ Sinks Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 31: 437-441. DOI: 10.1109/Tcad.2011.2174639  0.503
2009 Yi Y, Wenzel R, Sarin V, Shi W. Inductance extraction for interconnects in the presence of nonlinear magnetic materials Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 28: 1106-1110. DOI: 10.1109/Tcad.2009.2018869  0.518
2008 Liu Y, Hu J, Shi W. Buffering Interconnect for Multicore Processor Designs Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 27: 2183-2196. DOI: 10.1109/Tcad.2008.2006149  0.378
2008 Yi Y, Li P, Sarin V, Shi W. A Preconditioned Hierarchical Algorithm for Impedance Extraction of Three-Dimensional Structures With Multiple Dielectrics Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 27: 1918-1927. DOI: 10.1109/Tcad.2008.2006089  0.563
2008 Gulati K, Waghmode M, Khatri SP, Shi W. Efficient, scalable hardware engine for Boolean satisfiability and unsatisfiable core extraction Iet Computers and Digital Techniques. 2: 214-229. DOI: 10.1049/Iet-Cdt:20060221  0.301
2007 Hu S, Alpert CJ, Hu J, Karandikar SK, Li Z, Shi W, Sze CN. Fast algorithms for slew-constrained minimum cost buffering Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 2009-2022. DOI: 10.1109/Tcad.2007.906477  0.508
2007 Sze CN, Alpert CJ, Hu J, Shi W. Path-Based Buffer Insertion Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 1346-1355. DOI: 10.1109/Tcad.2006.888281  0.354
2007 Li Z, Zhou Y, Shi W. Wire Sizing for Non-Tree Topology Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 872-880. DOI: 10.1109/Tcad.2006.884572  0.403
2006 Yan S, Sarin V, Shi W. Fast 3-D capacitance extraction by inexact factorization and reduction Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 2268-2271. DOI: 10.1109/Tcad.2005.862747  0.357
2006 Li Z, Shi W. An O(bn/sup 2/) time algorithm for optimal buffer insertion with b buffer types Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 484-489. DOI: 10.1109/Tcad.2005.854631  0.496
2005 Shi W, Su C. The Rectilinear Steiner Arborescence Problem Is NP-Complete Siam Journal On Computing. 35: 729-740. DOI: 10.1137/S0097539704371353  0.307
2005 Lu X, Li Z, Qiu W, Walker DMH, Shi W. Longest-path selection for delay test under process variation Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 24: 1924-1929. DOI: 10.1109/Tcad.2005.852674  0.535
2005 Yan S, Sarin V, Shi W. Sparse transformations and preconditioners for 3-D capacitance extraction Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 24: 1420-1426. DOI: 10.1109/Tcad.2005.850824  0.377
2005 Shi W, Li Z. A fast algorithm for optimal buffer insertion Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 24: 879-891. DOI: 10.1109/Tcad.2005.847942  0.503
2004 Shi W, Yu F. A divide-and-conquer algorithm for 3-D capacitance extraction Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 23: 1157-1163. DOI: 10.1109/Tcad.2004.831595  0.401
2003 Li Z, Lu X, Qiu W, Shi W, Walker DMH. A circuit level fault model for resistive bridges Acm Transactions On Design Automation of Electronic Systems. 8: 546-559. DOI: 10.1145/944027.944036  0.492
2002 Shi W, Liu J, Kakani N, Yu T. A fast hierarchical algorithm for three-dimensional capacitance extraction Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 21: 330-336. DOI: 10.1109/43.986426  0.428
2000 Shahrokhi F, Shi W. On Crossing Sets, Disjoint Sets, and Pagenumber Journal of Algorithms. 34: 40-53. DOI: 10.1006/Jagm.1999.1049  0.338
1999 Shi W, West DB. Diagnosis of wiring networks: an optimal randomized algorithm for finding connected components of unknown graphs Siam Journal On Computing. 28: 1541-1551. DOI: 10.1137/S0097539795288118  0.339
1996 Shi W. A fast algorithm for area minimization of slicing floorplans Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 15: 1525-1532. DOI: 10.1109/43.552085  0.409
1995 Shi W, Fuchs WK. Optimal Interconnect Diagnosis of Wiring Networks Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 3: 430-436. DOI: 10.1109/92.407000  0.364
1992 Shi W, Fuchs WK. Probabilistic Analysis and Algorithms for Reconfiguration of Memory Arrays Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 11: 1153-1160. DOI: 10.1109/43.160001  0.375
1990 Chang MF, Shi W, Fuchs WK. Optimal Diagnosis Procedures for k-out-of-n Structures Ieee Transactions On Computers. 39: 559-564. DOI: 10.1109/12.54850  0.319
Show low-probability matches.