Oscar van der Straten, Ph.D.

Affiliations: 
2005 State University of New York, Albany, Albany, NY, United States 
Area:
Condensed Matter Physics
Google:
"Oscar van der Straten"

Parents

Sign in to add mentor
Alain E. Kaloyeros grad student 2005 SUNY Albany
 (Atomic layer deposition of tantalum nitride liner and indium surfactant materials for applications in nanoscale copper interconnect technology.)
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Motoyama K, Van Der Straten O, Maniscalco J, et al. (2013) PVD Cu reflow seed process optimization for defect reduction in nanoscale Cu/Low-k dual damascene interconnects Journal of the Electrochemical Society. 160
Kelly J, Lin X, Nogami T, et al. (2013) Electrodeposited Cu film morphology on thin PVD Cu seed layers Journal of the Electrochemical Society. 160
Kelly J, Lin X, Nogami T, et al. (2013) Electrodeposited Cu film morphology on thin PVD Cu seed layers Ecs Transactions. 58: 17-28
Bolom T, Lee JY, Zhang X, et al. (2013) Electrical properties and reliability of ALD TaN barrier for sub-90nmPitch Cu interconnects Advanced Metallization Conference (Amc). 1-6
Kelly J, Nogami T, Van Der Straten O, et al. (2012) Electrolyte additive chemistry and feature size-dependent impurity incorporation for Cu interconnects Journal of the Electrochemical Society. 159: D563-D569
Motoyama K, Van Der Straten O, Tomizawa H, et al. (2012) Novel Cu reflow seed process for Cu/low-k 64nm pitch dual damascene interconnects and beyond 2012 Ieee International Interconnect Technology Conference, Iitc 2012
Chen JHC, Waskiewicz C, Fan SSC, et al. (2012) 56 nm pitch copper dual-damascene interconnects with triple pitch split metal and double pitch split via 2012 Ieee International Interconnect Technology Conference, Iitc 2012
Tomizawa H, Chen ST, Horak D, et al. (2011) Robust self-aligned via process for 64nm pitch Dual-Damascene interconnects using pitch split double exposure patterning scheme 2011 Ieee International Interconnect Technology Conference and 2011 Materials For Advanced Metallization, Iitc/Mam 2011
Kaloyeros AE, Eisenbraun ET, Dunn K, et al. (2011) Zero thickness diffusion barriers and metallization liners for nanoscale device applications Chemical Engineering Communications. 198: 1453-1481
Van Der Straten O, Shobha H, Demarest J, et al. (2010) Interactions of metal-organic PEALD TaN with ultra-low k dielectric materials Ecs Transactions. 33: 195-201
See more...