Krishna C. Saraswat - Publications

Affiliations: 
Electrical Engineering Stanford University, Palo Alto, CA 

335 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2021 Tang A, Kumar A, Jaikissoon M, Saraswat K, Wong HP, Pop E. Toward Low-Temperature Solid-Source Synthesis of Monolayer MoS. Acs Applied Materials & Interfaces. PMID 34427445 DOI: 10.1021/acsami.1c06812  0.308
2020 Xue M, Nazif KN, Lyu Z, Jiang J, Lu C, Lee N, Zang K, Chen Y, Zheng T, Kamins TI, Brongersma ML, Saraswat KC, Harris JS. Corrigendum to “Free-standing 2.7 μm thick ultrathin crystalline silicon solar cell with efficiency above 12.0%” Nano Energy, volume 70, April 2020, 104466 Nano Energy. 72: 104709. DOI: 10.1016/J.Nanoen.2020.104709  0.303
2020 Xue M, Nazif KN, Lyu Z, Jiang J, Lu C, Lee N, Zang K, Chen Y, Zheng T, Kamins TI, Brongersma ML, Saraswat KC, Harris JS. Free-standing 2.7 μm thick ultrathin crystalline silicon solar cell with efficiency above 12.0% Nano Energy. 70: 104466. DOI: 10.1016/J.Nanoen.2020.104466  0.411
2019 Kim SG, Kim SH, Park J, Kim GS, Park JH, Saraswat KC, Kim J, Yu HY. Infrared Detectable MoS Phototransistor and Its Application to Artificial Multi-Level Optic-Neural Synapse. Acs Nano. PMID 31469532 DOI: 10.1021/Acsnano.9B03683  0.349
2019 Kumar A, Islam R, Pramanik D, Saraswat K. On the limit of defect doping in transition metal oxides Journal of Vacuum Science and Technology. 37: 21505. DOI: 10.1116/1.5055563  0.383
2019 Gupta S, Tietz S, Vuckovic J, Saraswat K. Silicon-Compatible Fabrication of Inverse Woodpile Photonic Crystals with a Complete Band Gap Acs Photonics. 6: 368-373. DOI: 10.1021/Acsphotonics.8B01000  0.37
2018 Islam R, Saraswat K. Limitation of Optical Enhancement in Ultra-thin Solar Cells Imposed by Contact Selectivity. Scientific Reports. 8: 8863. PMID 29891992 DOI: 10.1038/S41598-018-27155-0  0.304
2018 Xue M, Islam R, Chen Y, Chen J, Lu C, Mitchell Pleus A, Tae C, Xu K, Liu Y, Kamins TI, Saraswat KC, Harris JS. Carrier-selective interlayer materials for silicon solar cell contacts Journal of Applied Physics. 123: 143101. DOI: 10.1063/1.5020056  0.374
2017 Xue M, Islam R, Meng AC, Lyu Z, Lu CY, Tae C, Braun MR, Zang K, McIntyre PC, Kamins TI, Saraswat KC, Harris JS. Contact Selectivity Engineering in 2 μm Thick Ultrathin c-Si Solar Cell using Transition Metal Oxides Achieving Efficiency of 10.8. Acs Applied Materials & Interfaces. PMID 29124928 DOI: 10.1021/Acsami.7B12886  0.42
2017 El Atab N, Ulusoy Ghobadi G, Ghobadi A, Suh J, Islam R, Okyay AK, Saraswat KC, Nayfeh A. Cubic-phase zirconia nano-islands growth using atomic layer deposition and application in low-power charge-trapping nonvolatile-memory devices. Nanotechnology. PMID 28832335 DOI: 10.1088/1361-6528/Aa87E5  0.705
2017 Shulaker MM, Hills G, Park RS, Howe RT, Saraswat K, Wong HP, Mitra S. Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature. 547: 74-78. PMID 28682331 DOI: 10.1038/Nature22994  0.362
2017 Islam R, Chen G, Ramesh P, Suh J, Fuchigami N, Lee D, Littau KA, Weiner K, Collins RT, Saraswat KC. Investigation of the Changes in Electronic Properties of Nickel Oxide (NiOx) due to UV/Ozone Treatment. Acs Applied Materials & Interfaces. PMID 28447776 DOI: 10.1021/Acsami.7B01629  0.78
2017 English CD, Shine G, Dorgan VE, Saraswat KC, Pop E. Correction to Improved Contacts to MoS2 Transistors by Ultra-High Vacuum Metal Deposition. Nano Letters. PMID 28367629 DOI: 10.1021/Acs.Nanolett.7B01337  0.349
2017 Barth M, Kumar A, Warner JH, Bennett BR, Cress CD, Boos JB, Roche NJ, Raine M, Gaillardin M, Paillet P, McMorrow D, Saraswat K, Datta S. Single-Event Measurement and Analysis of Antimony-Based p-Channel Quantum-Well MOSFETs With High- $\kappa $ Dielectric Ieee Transactions On Nuclear Science. 64: 434-440. DOI: 10.1109/Tns.2016.2637923  0.336
2017 El-Atab N, Saadat I, Saraswat K, Nayfeh A. Nanoislands-Based Charge Trapping Memory: A Scalability Study Ieee Transactions On Nanotechnology. 16: 1143-1146. DOI: 10.1109/Tnano.2017.2764745  0.56
2017 Shine G, Saraswat KC. Analysis of Atomistic Dopant Variation and Fermi Level Depinning in Nanoscale Contacts Ieee Transactions On Electron Devices. 64: 3768-3774. DOI: 10.1109/Ted.2017.2720183  0.333
2017 Morea M, Brendel CE, Zang K, Suh J, Fenrich CS, Huang Y, Chung H, Huo Y, Kamins TI, Saraswat KC, Harris JS. Passivation of multiple-quantum-well Ge0.97Sn0.03/Ge p-i-n photodetectors Applied Physics Letters. 110: 091109. DOI: 10.1063/1.4977878  0.37
2016 English CD, Shine G, Dorgan VE, Saraswat KC, Pop E. Improved Contacts to MoS2 Transistors by Ultra-High Vacuum Metal Deposition. Nano Letters. PMID 27232636 DOI: 10.1021/Acs.Nanolett.6B01309  0.378
2016 Petykiewicz J, Nam D, Sukhdeo DS, Gupta S, Buckley SM, Piggott AY, Vuckovic J, Saraswat KC. Direct Bandgap Light Emission from Strained Germanium Nanowires Coupled with High-Q Nanophotonic Cavities. Nano Letters. PMID 26907359 DOI: 10.1021/Acs.Nanolett.5B03976  0.315
2016 Islam R, Nazif KN, Saraswat KC. Si Heterojunction Solar Cells: A Simulation Study of the Design Issues Ieee Transactions On Electron Devices. 63: 4788-4795. DOI: 10.1109/Ted.2016.2613057  0.388
2016 Sukhdeo DS, Kim Y, Gupta S, Saraswat KC, Dutt BR, Nam D. Anomalous threshold reduction from <100> uniaxial strain for a low-threshold Ge laser Optics Communications. 379: 32-35. DOI: 10.1016/J.Optcom.2016.05.030  0.304
2016 Sukhdeo DS, Gupta S, Saraswat KC, Dutt B, Nam D. Impact of minority carrier lifetime on the performance of strained germanium light sources Optics Communications. 364: 233-237. DOI: 10.1016/J.Optcom.2015.11.060  0.303
2015 Sukhdeo DS, Petykiewicz J, Gupta S, Kim D, Woo S, Kim Y, Vučković J, Saraswat KC, Nam D. Ge microdisk with lithographically-tunable strain using CMOS-compatible process. Optics Express. 23: 33249-54. PMID 26831991 DOI: 10.1364/Oe.23.033249  0.312
2015 Nam JH, Afshinmanesh F, Nam D, Jung WS, Kamins TI, Brongersma ML, Saraswat KC. Monolithic integration of germanium-on-insulator p-i-n photodetector on silicon. Optics Express. 23: 15816-23. PMID 26193560 DOI: 10.1364/Oe.23.015816  0.46
2015 Kim Y, Petykiewicz J, Gupta S, Vuckovic J, Saraswat KC, Nam D. Strained Ge Light Emitter with Ge on Dual Insulators for Improved Thermal Conduction and Optical Insulation Ieie Transactions On Smart Processing and Computing. 4: 318-323. DOI: 10.5573/Ieiespc.2015.4.5.318  0.356
2015 Nam JH, Afshinmanesh F, Nam D, Jung WS, Kamins TI, Brongersma ML, Saraswat KC. Monolithic integration of germanium-oninsulator p-i-n photodetector on silicon Optics Express. 23: 15816-15823. DOI: 10.1364/OE.23.015816  0.352
2015 Shang CK, Chen R, Gupta S, Huang YC, Huo Y, Sanchez E, Kim Y, Kamins TI, Saraswat KC, Harris JS. Strained germanium-tin multiple quantum well microdisk resonators towards a light source on silicon Proceedings of Spie - the International Society For Optical Engineering. 9367. DOI: 10.1117/12.2080146  0.34
2015 Islam R, Ramesh P, Nam JH, Saraswat KC. Nickel oxide carrier selective contacts for silicon solar cells 2015 Ieee 42nd Photovoltaic Specialist Conference, Pvsc 2015. DOI: 10.1109/PVSC.2015.7355921  0.317
2015 Kim GS, Kim SH, Kim JK, Shin C, Park JH, Saraswat KC, Cho BJ, Yu HY. Surface Passivation of Germanium Using SF6 Plasma to Reduce Source/Drain Contact Resistance in Germanium n-FET Ieee Electron Device Letters. 36: 745-747. DOI: 10.1109/Led.2015.2440434  0.61
2015 Jung W, Nam JH, Pal A, Lee JH, Na Y, Kim Y, Lee JH, Saraswat KC. Reduction of Surface Roughness in Epitaxially Grown Germanium by Controlled Thermal Oxidation Ieee Electron Device Letters. 36: 297-299. DOI: 10.1109/Led.2015.2404814  0.347
2015 Hyung Nam J, Alkis S, Nam D, Afshinmanesh F, Shim J, Park JH, Brongersma M, Okyay AK, Kamins TI, Saraswat K. Lateral overgrowth of germanium for monolithic integration of germanium-on-insulator on silicon Journal of Crystal Growth. 416: 21-27. DOI: 10.1016/J.Jcrysgro.2014.11.004  0.654
2014 Nam D, Kang JH, Brongersma ML, Saraswat KC. Observation of improved minority carrier lifetimes in high-quality Ge-on-insulator using time-resolved photoluminescence. Optics Letters. 39: 6205-8. PMID 25361315 DOI: 10.1364/Ol.39.006205  0.408
2014 Chen R, Gupta S, Huang YC, Huo Y, Rudy CW, Sanchez E, Kim Y, Kamins TI, Saraswat KC, Harris JS. Demonstration of a Ge/GeSn/Ge quantum-well microdisk resonator on silicon: enabling high-quality Ge(Sn) materials for micro- and nanophotonics. Nano Letters. 14: 37-43. PMID 24299070 DOI: 10.1021/Nl402815V  0.364
2014 Gupta S, Gong X, Zhang R, Yeo YC, Takagi S, Saraswat KC. New materials for post-Si computing: Ge and GeSn devices Mrs Bulletin. 39: 678-686. DOI: 10.1557/Mrs.2014.163  0.438
2014 Sukhdeo DS, Nam D, Kang JH, Brongersma ML, Saraswat KC. Direct bandgap germanium-on-silicon inferred from 5.7% (100) uniaxial tensile strain 〈Invited〉 Photonics Research. 2: A8-A13. DOI: 10.1364/Prj.2.0000A8  0.357
2014 Gupta S, Moroz V, Lee S, Lu Q, Saraswat KC. 7-nm FinFET CMOS design enabled by stress engineering using Si, Ge, and Sn Ieee Transactions On Electron Devices. 61: 1222-1230. DOI: 10.1109/Ted.2014.2311129  0.377
2014 Kim JK, Kim GS, Nam H, Shin C, Park JH, Cho BJ, Saraswat KC, Yu HY. The efficacy of metal-interfacial layer-semiconductor source/drain structure on sub-10-nm n-type ge FinFET performances Ieee Electron Device Letters. 35: 1185-1187. DOI: 10.1109/Led.2014.2364574  0.597
2014 Kim GS, Kim JK, Kim SH, Jo J, Shin C, Park JH, Saraswat KC, Yu HY. Specific contact resistivity reduction through Ar plasma-treated TiO2-x interfacial layer to metal/Ge contact Ieee Electron Device Letters. 35: 1076-1078. DOI: 10.1109/Led.2014.2354679  0.624
2014 Kim JK, Kim GS, Shin C, Park JH, Saraswat KC, Yu HY. Analytical study of interfacial layer doping effect on contact resistivity in metal-interfacial layer-Ge structure Ieee Electron Device Letters. 35: 705-707. DOI: 10.1109/Led.2014.2323256  0.62
2014 Islam R, Shine G, Saraswat KC. Schottky barrier height reduction for holes by Fermi level depinning using metal/nickel oxide/silicon contacts Applied Physics Letters. 105. DOI: 10.1063/1.4901193  0.415
2013 Gupta S, Chen R, Huang YC, Kim Y, Sanchez E, Harris JS, Saraswat KC. Highly selective dry etching of germanium over germanium-tin (Ge(1-x)Sn(x)): a novel route for Ge(1-x)Sn(x) nanostructure fabrication. Nano Letters. 13: 3783-90. PMID 23834495 DOI: 10.1021/Nl4017286  0.306
2013 Nam D, Sukhdeo DS, Kang JH, Petykiewicz J, Lee JH, Jung WS, Vučković J, Brongersma ML, Saraswat KC. Strain-induced pseudoheterostructure nanowires confining carriers at room temperature with nanoscale-tunable band profiles. Nano Letters. 13: 3118-23. PMID 23758608 DOI: 10.1021/Nl401042N  0.363
2013 Pal A, Nainani A, Ye Z, Bao X, Sanchez E, Saraswat KC. Electrical characterization of GaP-silicon interface for memory and transistor applications Ieee Transactions On Electron Devices. 60: 2238-2245. DOI: 10.1109/Ted.2013.2264495  0.455
2013 Yuan Z, Kumar A, Chen CY, Nainani A, Bennett BR, Boos JB, Saraswat KC. Antimonide-based heterostructure p-channel MOSFETs with Ni-alloy source/drain Ieee Electron Device Letters. 34: 1367-1369. DOI: 10.1109/Led.2013.2280615  0.371
2013 Gupta S, Huang YC, Kim Y, Sanchez E, Saraswat KC. Hole mobility enhancement in compressively strained Ge0.93 Sn0.07 pMOSFETs Ieee Electron Device Letters. 34: 831-833. DOI: 10.1109/Led.2013.2259573  0.387
2013 Shim J, Song I, Jung WS, Nam J, Leem JW, Yu JS, Kim DE, Cho WJ, Kim YS, Jun DH, Heo J, Park W, Park JH, Saraswat KC. Effects of thermal annealing on in situ phosphorus-doped germanium n +p junction Ieee Electron Device Letters. 34: 15-17. DOI: 10.1109/Led.2012.2226016  0.356
2013 Dutt B, Lin H, Sukhdeo DS, Vulovic BM, Gupta S, Nam D, Saraswat KC, Harris JS. Theoretical analysis of GeSn alloys as a gain medium for a Si-compatible laser Ieee Journal On Selected Topics in Quantum Electronics. 19. DOI: 10.1109/Jstqe.2013.2241397  0.311
2013 Gupta S, Chen R, Harris JS, Saraswat KC. Atomic layer deposition of Al2O3 on germanium-tin (GeSn) and impact of wet chemical surface pre-treatment Applied Physics Letters. 103. DOI: 10.1063/1.4850518  0.397
2013 Shim J, Shin J, Lee I, Choi D, Woo Baek J, Heo J, Park W, Woo Leem J, Su Yu J, Jung W, Saraswat K, Park J. Effects of point defect healing on phosphorus implanted germanium n+/p junction and its thermal stability Journal of Applied Physics. 114: 094515. DOI: 10.1063/1.4820580  0.344
2013 Chen R, Huang YC, Gupta S, Lin AC, Sanchez E, Kim Y, Saraswat KC, Kamins TI, Harris JS. Material characterization of high Sn-content, compressively-strained GeSn epitaxial films after rapid thermal processing Journal of Crystal Growth. 365: 29-34. DOI: 10.1016/J.Jcrysgro.2012.12.014  0.383
2013 Yu HY, Battal E, Okyay AK, Shim J, Park JH, Baek JW, Saraswat KC. Experimental and theoretical investigation of phosphorus in-situ doping of germanium epitaxial layers Current Applied Physics. 13: 1060-1063. DOI: 10.1016/J.Cap.2013.02.021  0.599
2012 Jason Lin JY, Roy AM, Saraswat KC. Reduction in specific contact resistivity to n + ge using TiO 2 interfacial layer Ieee Electron Device Letters. 33: 1541-1543. DOI: 10.1109/Led.2012.2214758  0.358
2012 Jung WS, Park JH, Lin JYJ, Wong S, Saraswat KC. Characterization of geometric leakage current of GeO2 isolation and effect of forming gas annealing in germanium p-n junctions Ieee Electron Device Letters. 33: 1520-1522. DOI: 10.1109/Led.2012.2211856  0.339
2012 Roy AM, Lin J, Saraswat KC. The effect of fixed charge in tunnel-barrier contacts for fermi-level depinning in Germanium Ieee Electron Device Letters. 33: 761-763. DOI: 10.1109/Led.2012.2191386  0.305
2012 Yu HY, Park JH, Okyay AK, Saraswat KC. Selective-area high-quality germanium growth for monolithic integrated optoelectronics Ieee Electron Device Letters. 33: 579-581. DOI: 10.1109/Led.2011.2181814  0.666
2012 Pal A, Nainani A, Gupta S, Saraswat KC. Performance improvement of one-transistor DRAM by band engineering Ieee Electron Device Letters. 33: 29-31. DOI: 10.1109/Led.2011.2171912  0.366
2012 Jung WS, Park JH, Jung HW, Saraswat KC. Characteristics of metal-induced crystallization/dopant activation and its application to junction diodes on single-crystalline silicon Journal of Physics D: Applied Physics. 45. DOI: 10.1088/0022-3727/45/24/245104  0.391
2012 Jung WS, Park JH, Nainani A, Nam D, Saraswat KC. Fluorine passivation of vacancy defects in bulk germanium for Ge metal-oxide-semiconductor field-effect transistor application Applied Physics Letters. 101. DOI: 10.1063/1.4746389  0.406
2012 Nainani A, Bennett BR, Brad Boos J, Ancona MG, Saraswat KC. Enhancing hole mobility in III-V semiconductors Journal of Applied Physics. 111. DOI: 10.1063/1.4718381  0.32
2012 Yuan Z, Nainani A, Bennett BR, Brad Boos J, Ancona MG, Saraswat KC. Amelioration of interface state response using band engineering in III-V quantum well metal-oxide-semiconductor field-effect transistors Applied Physics Letters. 100. DOI: 10.1063/1.3699226  0.356
2012 Nam D, Sukhdeo D, Cheng SL, Roy A, Chih-Yao Huang K, Brongersma M, Nishi Y, Saraswat K. Electroluminescence from strained germanium membranes and implications for an efficient Si-compatible laser Applied Physics Letters. 100. DOI: 10.1063/1.3699224  0.309
2011 Nam D, Sukhdeo D, Roy A, Balram K, Cheng SL, Huang KC, Yuan Z, Brongersma M, Nishi Y, Miller D, Saraswat K. Strained germanium thin film membrane on silicon substrate for optoelectronics. Optics Express. 19: 25866-72. PMID 22274174 DOI: 10.1364/Oe.19.025866  0.347
2011 Nainani A, Irisawa T, Yuan Z, Bennett BR, Boos JB, Nishi Y, Saraswat KC. Optimization of the Al2O3/GaSb interface and a high-mobility GaSb pMOSFET Ieee Transactions On Electron Devices. 58: 3407-3415. DOI: 10.1109/Ted.2011.2162732  0.423
2011 Park JH, Kuzum D, Yu HY, Saraswat KC. Optimization of germanium (Ge) n+/p and p+/n junction diodes and sub 380 °c Ge CMOS technology for monolithic three-dimensional integration Ieee Transactions On Electron Devices. 58: 2394-2400. DOI: 10.1109/Ted.2011.2148199  0.38
2011 Kuzum D, Park JH, Krishnamohan T, Wong HSP, Saraswat KC. The effect of donor/acceptor nature of interface traps on Ge MOSFET characteristics Ieee Transactions On Electron Devices. 58: 1015-1022. DOI: 10.1109/Ted.2011.2120613  0.689
2011 Kobayashi M, Mitard J, Irisawa T, Hoffmann T, Meuris M, Saraswat K, Nishi Y, Heyns M. On the High-Field Transport and Uniaxial Stress Effect in Ge PFETs Ieee Transactions On Electron Devices. 58: 384-391. DOI: 10.1109/Ted.2010.2093530  0.379
2011 Kuzum D, Krishnamohan T, Nainani A, Sun Y, Pianetta PA, Wong HSP, Saraswat KC. High-mobility Ge N-MOSFETs and mobility degradation mechanisms Ieee Transactions On Electron Devices. 58: 59-66. DOI: 10.1109/Ted.2010.2088124  0.708
2011 Thareja G, Chopra S, Adams B, Kim Y, Moffatt S, Saraswat K, Nishi Y. High n-Type Antimony Dopant Activation in Germanium Using Laser Annealing for $\hbox{n}^{+}/\hbox{p}$ Junction Diode Ieee Electron Device Letters. 32: 838-840. DOI: 10.1109/Led.2011.2142410  0.312
2011 Thareja G, Cheng S, Kamins T, Saraswat K, Nishi Y. Electrical Characteristics of Germanium $\hbox{n}^{+}/ \hbox{p}$ Junctions Obtained Using Rapid Thermal Annealing of Coimplanted P and Sb Ieee Electron Device Letters. 32: 608-610. DOI: 10.1109/Led.2011.2119460  0.336
2011 Yu HY, Kobayashi M, Park JH, Nishi Y, Saraswat KC. Novel germanium n-MOSFETs with raised source/drain on selectively grown ge on si for monolithic integration Ieee Electron Device Letters. 32: 446-448. DOI: 10.1109/Led.2011.2106756  0.405
2011 Park JH, Kuzum D, Jung WS, Saraswat KC. N-channel germanium MOSFET fabricated below 360°C by cobalt-induced dopant activation for monolithic three-dimensional-ICs Ieee Electron Device Letters. 32: 234-236. DOI: 10.1109/Led.2010.2095827  0.403
2011 Hu J, Nainani A, Sun Y, Saraswat KC, Philip Wong HS. Impact of fixed charge on metal-insulator-semiconductor barrier height reduction Applied Physics Letters. 99. DOI: 10.1063/1.3669414  0.347
2011 Hu J, Saraswat KC, Philip Wong HS. Metal/III-V effective barrier height tuning using atomic layer deposition of high-κ/high-κ bilayer interfaces Applied Physics Letters. 99. DOI: 10.1063/1.3633118  0.408
2011 Nainani A, Yuan Z, Krishnamohan T, Bennett BR, Boos JB, Reason M, Ancona MG, Nishi Y, Saraswat KC. InxGa1-xSb channel p-metal-oxide-semiconductor field effect transistors: Effect of strain and heterostructure design Journal of Applied Physics. 110. DOI: 10.1063/1.3600220  0.696
2011 Cheng S, Shambat G, Lu J, Yu H, Saraswat K, Kamins TI, Vuckovic J, Nishi Y. Cavity-enhanced direct band electroluminescence near 1550 nm from germanium microdisk resonator diode on silicon Applied Physics Letters. 98: 211101. DOI: 10.1063/1.3592837  0.366
2011 Nainani A, Sun Y, Irisawa T, Yuan Z, Kobayashi M, Pianetta P, Bennett BR, Brad Boos J, Saraswat KC. Device quality Sb-based compound semiconductor surface: A comparative study of chemical cleaning Journal of Applied Physics. 109. DOI: 10.1063/1.3590167  0.344
2011 Yuan Z, Nainani A, Sun Y, Lin JYJ, Pianetta P, Saraswat KC. Schottky barrier height reduction for metal/n-GaSb contact by inserting TiO2 interfacial layer with low tunneling resistance Applied Physics Letters. 98. DOI: 10.1063/1.3584862  0.35
2011 Lin JYJ, Roy AM, Nainani A, Sun Y, Saraswat KC. Increase in current density for metal contacts to n-germanium by inserting TiO2 interfacial layer to reduce Schottky barrier height Applied Physics Letters. 98. DOI: 10.1063/1.3562305  0.373
2011 Hu J, Saraswat KC, Wong HSP. Experimental demonstration of In0.53 Ga0.47 As field effect transistors with scalable nonalloyed source/drain contacts Applied Physics Letters. 98. DOI: 10.1063/1.3553192  0.384
2011 Kim EJ, Shandalov M, Saraswat KC, McIntyre PC. Inelastic electron tunneling study of crystallization effects and defect energies in hafnium oxide gate dielectrics Applied Physics Letters. 98. DOI: 10.1063/1.3527977  0.342
2011 Kuzum D, Park JH, Krishnamohan T, Saraswat KC. Effect of interfacial oxide on Ge MOSCAP and N-MOSFET characteristics Microelectronic Engineering. 88: 3428-3431. DOI: 10.1016/J.Mee.2010.04.011  0.695
2010 Raghunathan S, Krishnamohan T, Saraswat K. Novel SiGe source/drain for reduced parasitic resistance in Ge NMOS Ecs Transactions. 33: 871-876. DOI: 10.1149/1.3487617  0.607
2010 Tada M, Park J, Kuzum D, Thareja G, Jain JR, Nishi Y, Saraswat KC. Low Temperature Germanium Growth on Silicon Oxide Using Boron Seed Layer and In Situ Dopant Activation Journal of the Electrochemical Society. 157. DOI: 10.1149/1.3295703  0.441
2010 Kobayashi M, Irisawa T, Magyari-Kope B, Saraswat K, Wong HP, Nishi Y. Uniaxial Stress Engineering for High-Performance Ge NMOSFETs Ieee Transactions On Electron Devices. 57: 1037-1046. DOI: 10.1109/Ted.2010.2042767  0.416
2010 Ertosun MG, Saraswat KC. Investigation of Capacitorless Double-Gate Single-Transistor DRAM: With and Without Quantum Well Ieee Transactions On Electron Devices. 57: 608-613. DOI: 10.1109/Ted.2009.2038651  0.323
2010 Nainani A, Yuan Z, Krishnamohan T, Saraswat K. Optimal design of III-V heterostructure MOSFETs International Conference On Simulation of Semiconductor Processes and Devices, Sispad. 103-106. DOI: 10.1109/SISPAD.2010.5604557  0.625
2010 Roy AM, Lin JYJ, Saraswat KC. Specific contact resistivity of tunnel barrier contacts used for fermi level depinning Ieee Electron Device Letters. 31: 1077-1079. DOI: 10.1109/Led.2010.2058838  0.32
2010 Nainani A, Irisawa T, Yuan Z, Sun Y, Krishnamohan T, Reason M, Bennett BR, Boos JB, Ancona MG, Nishi Y, Saraswat KC. Development of high-k dielectric for antimonides and a sub 350°C III-V pMOSFET outperforming Germanium Technical Digest - International Electron Devices Meeting, Iedm. 6.4.1-6.4.4. DOI: 10.1109/IEDM.2010.5703309  0.609
2010 Yu HY, Cheng SL, Park JH, Okyay AK, Onbal MC, Ercan B, Nishi Y, Saraswat KC. High quality single-crystal germanium-on-insulator on bulk Si substrates based on multistep lateral over-growth with hydrogen annealing Applied Physics Letters. 97. DOI: 10.1063/1.3478242  0.669
2010 Nainani A, Yum J, Barnett J, Hill R, Goel N, Huang J, Majhi P, Jammy R, Saraswat KC. Study of piezoresistance under unixial stress for technologically relevant III-V semiconductors using wafer bending experiments Applied Physics Letters. 96. DOI: 10.1063/1.3436561  0.349
2010 Hu J, Saraswat KC, Wong H-P. Metal/III-V Schottky barrier height tuning for the design of nonalloyed III-V field-effect transistor source/drain contacts Journal of Applied Physics. 107: 63712. DOI: 10.1063/1.3327434  0.377
2010 Lee D, Raghunathan S, Wilson RJ, Nikonov DE, Saraswat K, Wang SX. The influence of Fermi level pinning/depinning on the Schottky barrier height and contact resistance in Ge/CoFeB and Ge/MgO/CoFeB structures Applied Physics Letters. 96. DOI: 10.1063/1.3285163  0.341
2010 Kim EJ, Wang L, Asbeck PM, Saraswat KC, McIntyre PC. Border traps in Al2 O3 / In0.53 Ga 0.47 As (100) gate stacks and their passivation by hydrogen anneals Applied Physics Letters. 96. DOI: 10.1063/1.3281027  0.352
2010 Kobayashi S, Nishi Y, Saraswat K. Effect of isochronal hydrogen annealing on surface roughness and threading dislocation density of epitaxial Ge films grown on Si Thin Solid Films. 518: S136-S139. DOI: 10.1016/J.Tsf.2009.10.072  0.376
2010 Krishnamohan T, Kim D, Saraswat KC. Properties and trade-offs of compound semiconductor MOSFETs Fundamentals of Iii-V Semiconductor Mosfets. 7-30. DOI: 10.1007/978-1-4419-1547-4_2  0.587
2009 Cheng SL, Lu J, Shambat G, Yu HY, Saraswat K, Vuckovic J, Nishi Y. Room temperature 1.6 microm electroluminescence from Ge light emitting diode on Si substrate. Optics Express. 17: 10019-24. PMID 19506652 DOI: 10.1364/Oe.17.010019  0.403
2009 Nainani A, Kobayashi M, Witte D, Irisawa T, Krishnamohan T, Saraswat K, Bennett BR, Ancona MG, Boos JB. Investigation of Strained-Sb Hetrostructures with High Hole Mobility The Japan Society of Applied Physics. DOI: 10.7567/Ssdm.2009.J-2-6  0.634
2009 Tada M, Park J, Jain JR, Saraswat KC. Low-Temperature, Low-Pressure Chemical Vapor Deposition and Solid Phase Crystallization of Silicon–Germanium Films Journal of the Electrochemical Society. 156. DOI: 10.1149/1.3008009  0.338
2009 Koo K, Kapur P, Saraswat KC. Compact Performance Models and Comparisons for Gigascale On-Chip Global Interconnect Technologies Ieee Transactions On Electron Devices. 56: 1787-1798. DOI: 10.1109/Ted.2009.2026196  0.558
2009 Kuzum D, Pethe AJ, Krishnamohan T, Saraswat KC. Ge (100) and (111) N- and P-FETs with high mobility and low-T mobility characterization Ieee Transactions On Electron Devices. 56: 648-655. DOI: 10.1109/Ted.2009.2014198  0.8
2009 Yu HY, Ren S, Jung WS, Okyay AK, Miller DAB, Saraswat KC. High-efficiency p-i-n photodetectors on selective-area-grown ge for monolithic integration Ieee Electron Device Letters. 30: 1161-1163. DOI: 10.1109/Led.2009.2030905  0.601
2009 Yu HY, Cheng SL, Griffin PB, Nishi Y, Saraswat KC. Germanium in situ doped epitaxial growth on Si for high-performance n+/p-junction diode Ieee Electron Device Letters. 30: 1002-1004. DOI: 10.1109/Led.2009.2027823  0.366
2009 Yu H, Ishibashi M, Park J, Kobayashi M, Saraswat KC. p-Channel Ge MOSFET by Selectively Heteroepitaxially Grown Ge on Si Ieee Electron Device Letters. 30: 675-677. DOI: 10.1109/Led.2009.2019847  0.437
2009 Kuzum D, Krishnamohan T, Nainani A, Sun Y, Pianetta PA, Wong HSP, Saraswat KC. Experimental demonstration of high mobility Ge NMOS Technical Digest - International Electron Devices Meeting, Iedm. 19.1.1-19.1.4. DOI: 10.1109/IEDM.2009.5424322  0.639
2009 Nainani A, Raghunathan S, Witte D, Kobayashi M, Irisawa T, Krishnamohan T, Saraswat K, Bennett BR, Ancona MG, Boos JB. Engineering of strained III-V heterostructures for high hole mobility Technical Digest - International Electron Devices Meeting, Iedm. 35.3.1-35.3.4. DOI: 10.1109/IEDM.2009.5424267  0.603
2009 Yu HY, Kobayashi M, Jung WS, Okyay AK, Nishi Y, Saraswat KC. High performance n-MOSFETs with novel source/drain on selectively grown Ge on Si for monolithic integration Technical Digest - International Electron Devices Meeting, Iedm. 29.4.1-29.4.4. DOI: 10.1109/IEDM.2009.5424245  0.608
2009 Raghunathan S, Krishnamohan T, Parat K, Saraswat K. Investigation of ballistic current in scaled floating-gate NAND FLASH and a solution Technical Digest - International Electron Devices Meeting, Iedm. 34.1.1-34.1.4. DOI: 10.1109/IEDM.2009.5424216  0.606
2009 Kuzum D, Martens K, Krishnamohan T, Saraswat KC. Characteristics of surface states and charge neutrality level in Ge Applied Physics Letters. 95. DOI: 10.1063/1.3270529  0.658
2009 Kim EJ, Chagarov E, Cagnon J, Yuan Y, Kummel AC, Asbeck PM, Stemmer S, Saraswat KC, McIntyre PC. Atomically abrupt and unpinned Al2O3/In 0.53Ga0.47 As interfaces: Experiment and simulation Journal of Applied Physics. 106. DOI: 10.1063/1.3266006  0.388
2009 Kobayashi M, Thareja G, Ishibashi M, Sun Y, Griffin P, McVittie J, Pianetta P, Saraswat K, Nishi Y. Radical oxidation of germanium for interface gate dielectric GeO 2 formation in metal-insulator-semiconductor gate stack Journal of Applied Physics. 106. DOI: 10.1063/1.3259407  0.364
2009 Yu H, Kim D, Ren S, Kobayashi M, Miller DAB, Nishi Y, Saraswat KC. Effect of uniaxial-strain on Ge p-i-n photodiodes integrated on Si Applied Physics Letters. 95: 161106. DOI: 10.1063/1.3254181  0.321
2009 Park J, Tada M, Jung W, Wong H-P, Saraswat KC. Metal-induced dopant (boron and phosphorus) activation process in amorphous germanium for monolithic three-dimensional integration Journal of Applied Physics. 106: 74510. DOI: 10.1063/1.3238297  0.367
2009 Kobayashi M, Kinoshita A, Saraswat K, Wong H-P, Nishi Y. Fermi level depinning in metal/Ge Schottky junction for metal source/drain Ge metal-oxide-semiconductor field-effect-transistor application Journal of Applied Physics. 105: 23702. DOI: 10.1063/1.3065990  0.366
2009 McIntyre PC, Oshima Y, Kim E, Saraswat KC. Interface studies of ALD-grown metal oxide insulators on Ge and III–V semiconductors (Invited Paper) Microelectronic Engineering. 86: 1536-1539. DOI: 10.1016/J.Mee.2009.03.081  0.398
2008 Saraswat KC, Kim D, Krishnamohan T, Kuzum D, Okyay AK, Pethe A, Yu HY. Germanium for high performance MOSFETs and optical interconnects Ecs Transactions. 16: 3-12. DOI: 10.1149/1.2986748  0.828
2008 Delabie A, Brunco DP, Conard T, Favia P, Bender H, Franquet A, Sioncke S, Vandervorst W, Van Elshocht S, Heyns M, Meuris M, Kim E, McIntyre PC, Saraswat KC, Lebeau JM, et al. Atomic layer deposition of hafnium oxide on ge and gaas substrates: Precursors and surface preparation Journal of the Electrochemical Society. 155. DOI: 10.1149/1.2979144  0.395
2008 Behnam A, Johnson JL, Choi Y, Ertosun MG, Wu Z, Rinzler AG, Kapur P, Saraswat KC, Ural A. Metal-Semiconductor-Metal (MSM) photodetectors based on single-walled carbon nanotube film-silicon schottky contacts Proceedings of Spie - the International Society For Optical Engineering. 6885. DOI: 10.1117/12.761935  0.3
2008 Martens K, Chui CO, Brammertz G, De Jaeger B, Kuzum D, Meuris M, Heyns MM, Krishnamohan T, Saraswat K, Maes HE, Groeseneken G. On the correct extraction of interface trap density of MOS devices with high-mobility semiconductor substrates Ieee Transactions On Electron Devices. 55: 547-556. DOI: 10.1109/Ted.2007.912365  0.779
2008 Ertosun MG, Cho H, Kapur P, Saraswat KC. A Nanoscale Vertical Double-Gate Single-Transistor Capacitorless DRAM Ieee Electron Device Letters. 29: 615-617. DOI: 10.1109/Led.2008.922969  0.763
2008 Kuzum D, Krishnamohan T, Pethe AJ, Okyay AK, Oshima Y, Sun Y, McVittie JP, Pianetta PA, McIntyre PC, Saraswat KC. Ge-interface engineering with ozone oxidation for low interface-state density Ieee Electron Device Letters. 29: 328-330. DOI: 10.1109/Led.2008.918272  0.799
2008 Ertosun MG, Kapur P, Saraswat KC. A Highly Scalable Capacitorless Double Gate Quantum Well Single Transistor DRAM: 1T-QW DRAM Ieee Electron Device Letters. 29: 1405-1407. DOI: 10.1109/Led.2008.2007508  0.557
2008 Verma S, Pop E, Kapur P, Parat K, Saraswat KC. Operational Voltage Reduction of Flash Memory Using High-$\kappa$ Composite Tunnel Barriers Ieee Electron Device Letters. 29: 252-254. DOI: 10.1109/Led.2007.915376  0.552
2008 Cho H, Koo K, Kapur P, Saraswat KC. Performance Comparisons Between Cu/Low- $\kappa$ , Carbon-Nanotube, and Optics for Future On-Chip Interconnects Ieee Electron Device Letters. 29: 122-124. DOI: 10.1109/Led.2007.911617  0.612
2008 Park J, Kuzum D, Tada M, Saraswat KC. High performance germanium N+∕P and P+∕N junction diodes formed at low Temperature (⩽380°C) using metal-induced dopant activation Applied Physics Letters. 93: 193507. DOI: 10.1063/1.3025849  0.42
2008 Park J, Tada M, Kapur P, Saraswat KC. Low temperature boron and phosphorus activation in amorphous germanium using Ni- and Co-induced crystallization and its application for three-dimensional integrated circuits Applied Physics Letters. 93: 183512. DOI: 10.1063/1.3009201  0.608
2008 Park J, Tada M, Kapur P, Peng H, Saraswat KC. Self-nucleation free and dimension dependent metal-induced lateral crystallization of amorphous germanium for single crystalline germanium growth on insulating substrate Journal of Applied Physics. 104: 64501. DOI: 10.1063/1.2978367  0.609
2008 Behnam A, Johnson JL, Choi Y, Ertosun MG, Okyay AK, Kapur P, Saraswat KC, Ural A. Experimental characterization of single-walled carbon nanotube film-Si Schottky contacts using metal-semiconductor-metal structures Applied Physics Letters. 92. DOI: 10.1063/1.2945644  0.735
2008 Behnam A, Johnson J, Choi Y, Noriega L, Ertosun MG, Wu Z, Rinzler AG, Kapur P, Saraswat KC, Ural A. Metal-semiconductor-metal photodetectors based on single-walled carbon nanotube film-GaAs Schottky contacts Journal of Applied Physics. 103. DOI: 10.1063/1.2938037  0.613
2008 Tang L, Kocabas SE, Latif S, Okyay AK, Ly-Gagnon DS, Saraswat KC, Miller DAB. Nanometre-scale germanium photodetector enhanced by a near-infrared dipole antenna Nature Photonics. 2: 226-229. DOI: 10.1038/Nphoton.2008.30  0.567
2007 Okyay AK, Pethe AJ, Kuzum D, Latif S, Miller DA, Saraswat KC. SiGe optoelectronic metal-oxide semiconductor field-effect transistor. Optics Letters. 32: 2022-4. PMID 17632630 DOI: 10.1364/Ol.32.002022  0.793
2007 Johnson JL, Behnam A, Choi Y, Noriega L, Ertosun G, Wu Z, Rinzler AG, Kapur P, Saraswat KC, Ural A. Metal-Semiconductor-Metal (MSM) Photodetectors Based on Single-walled Carbon Nanotube Film-GaAs Schottky Contacts Mrs Proceedings. 1057. DOI: 10.1557/Proc-1057-Ii22-05  0.562
2007 Fidaner O, Okyay AK, Roth JE, Kuo Y, Saraswat KC, Harris JS, Miller DAB. Waveguide Electroabsorption Modulator on Si Employing Ge/SiGe Quantum Wells Frontiers in Optics. DOI: 10.1364/Fio.2007.Fmc2  0.599
2007 Saraswat KC, Kim D, Krishnamohan T, Pethe A. Performance limitations of Si bulk CMOS and alternatives for future ULSI Ecs Transactions. 8: 9-14. DOI: 10.1149/1.2767279  0.805
2007 Koo K, Cho H, Kapur P, Saraswat KC. Performance Comparisons Between Carbon Nanotubes, Optical, and Cu for Future High-Performance On-Chip Interconnect Applications Ieee Transactions On Electron Devices. 54: 3206-3215. DOI: 10.1109/Ted.2007.909045  0.627
2007 Okyay AK, Kuzum D, Latif S, Miller DAB, Saraswat KC. Silicon germanium CMOS optoelectronic switching device: Bringing light to latch Ieee Transactions On Electron Devices. 54: 3252-3259. DOI: 10.1109/Ted.2007.908903  0.667
2007 Kuo-An Chao A, Kapur P, Morifuji E, Saraswat K, Nishi Y. Electro-Thermally Coupled Power Optimization for Future Transistors and Its Applications Ieee Transactions On Electron Devices. 54: 1696-1704. DOI: 10.1109/Ted.2007.898242  0.591
2007 Fidaner O, Okyay AK, Roth JE, Schaevitz RK, Kuo YH, Saraswat KC, Harris JS, Miller DAB. Ge-SiGe quantum-well waveguide photodetectors on silicon for the near-infrared Ieee Photonics Technology Letters. 19: 1631-1633. DOI: 10.1109/Lpt.2007.904929  0.628
2007 Cho H, Kapur P, Saraswat KC. A Modulator Design Methodology Minimizing Power Dissipation in a Quantum Well Modulator-Based Optical Interconnect Journal of Lightwave Technology. 25: 1621-1628. DOI: 10.1109/Jlt.2007.895340  0.655
2007 Park J, Kapur P, Saraswat KC, Peng H. A very low temperature single crystal germanium growth process on insulating substrate using Ni-induced lateral crystallization for three-dimensional integrated circuits Applied Physics Letters. 91: 143107. DOI: 10.1063/1.2793183  0.622
2007 Sreenivasan R, Sugawara T, Saraswat KC, McIntyre PC. High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications Applied Physics Letters. 90: 102101. DOI: 10.1063/1.2643085  0.339
2007 Krishnamohan T, Jungemann C, Kim D, Ungersboeck E, Selberherr S, Pham AT, Meinerzhagen B, Wong P, Nishi Y, Saraswat KC. High performance, uniaxially-strained, silicon and germanium, double-gate p-MOSFETs Microelectronic Engineering. 84: 2063-2066. DOI: 10.1016/J.Mee.2007.04.085  0.686
2006 Okyay AK, Nayfeh AM, Saraswat KC, Yonehara T, Marshall A, McIntyre PC. High-efficiency metal-semiconductor-metal photodetectors on heteroepitaxially grown Ge on Si. Optics Letters. 31: 2565-7. PMID 16902620 DOI: 10.1364/Ol.31.002565  0.731
2006 Tang L, Miller DA, Okyay AK, Matteo JA, Yuen Y, Saraswat KC, Hesselink L. C-shaped nanoaperture-enhanced germanium photodetector. Optics Letters. 31: 1519-21. PMID 16642158 DOI: 10.1364/Nano.2006.Nthb5  0.558
2006 Krishnamohan T, Kim D, Jungemann C, Nishi Y, Saraswat KC. Evaluating Strained/Relaxed-Ge, Strained-Si, Strained-SiGe For Future Nanoscale p-MOSFETs The Japan Society of Applied Physics. 2006: 168-169. DOI: 10.7567/Ssdm.2006.H-1-5  0.642
2006 Krishnamohan T, Kim D, Jungemann C, Nishi Y, Saraswat K. High performance, ultra-thin, strained-Ge, heterostructure FETs with high mobility and low leakage Ecs Transactions. 3: 687-695. DOI: 10.1149/1.2355864  0.675
2006 McIntyre PC, Chi D, Chui CO, Kim H, Seo KI, Saraswat KC, Sreenivasan R, Sugawara T, Aguirre-Testado FS, Wallace RM. Interface layers for high-k/Ge gate stacks: Are they necessary? Ecs Transactions. 3: 519-530. DOI: 10.1149/1.2355849  0.611
2006 Saraswat KC, Chui CO, Kapur P, Krishnamohan T, Nayfeh A, Okyay AK, Shenoy RS. Performance limitations of Si CMOS and alternatives for nanoelectronics International Journal of High Speed Electronics and Systems. 16: 175-192. DOI: 10.1142/S0129156406003606  0.818
2006 Cho H, Kapur P, Kalavade P, Saraswat KC. A novel spacer process for sub-10-nm-thick vertical MOS and its integration with planar MOS device Ieee Transactions On Nanotechnology. 5: 554-563. DOI: 10.1109/Tnano.2006.880881  0.808
2006 Chui CO, Kim H, Chi D, Mcintyre PC, Saraswat KC. Nanoscale germanium MOS dielectrics - Part II: High-κ gate dielectrics Ieee Transactions On Electron Devices. 53: 1509-1516. DOI: 10.1109/Ted.2006.875812  0.743
2006 Chui CO, Ito F, Saraswat KC. Nanoscale germanium MOS dielectrics - Part I: Germanium oxynitrides Ieee Transactions On Electron Devices. 53: 1501-1508. DOI: 10.1109/Ted.2006.875808  0.678
2006 Krishnamohan T, Kim D, Nguyen CD, Jungemann C, Nishi Y, Saraswat KC. High-mobility low band-to-band-tunneling strained-germanium double-gate heterostructure FETs: Simulations Ieee Transactions On Electron Devices. 53: 1000-1009. DOI: 10.1109/Ted.2006.872367  0.699
2006 Krishnamohan T, Krivokapic Z, Uchida K, Nishi Y, Saraswat KC. High-mobility ultrathin strained Ge MOSFETs on Bulk and SOI with low band-to-band tunneling leakage: Experiments Ieee Transactions On Electron Devices. 53: 990-999. DOI: 10.1109/Ted.2006.872362  0.708
2006 Cho H, Kapur P, Saraswat KC. Performance comparison between vertical-cavity surface-emitting laser and quantum-well modulator for short-distance optical links Ieee Photonics Technology Letters. 18: 520-522. DOI: 10.1109/Lpt.2005.863986  0.62
2006 Seo K, Sreenivasan R, McIntyre PC, Saraswat KC. Improvement in High- $k$ $(hboxHfO_2/hboxSiO_2)$ Reliability by Incorporation of Fluorine Ieee Electron Device Letters. 27: 821-823. DOI: 10.1109/Led.2006.882564  0.509
2006 Seo KI, Lee DI, Pianetta P, Kim H, Saraswat KC, McIntyre PC. Chemical states and electrical properties of a high- k metal oxide/silicon interface with oxygen-gettering titanium-metal-overlayer Applied Physics Letters. 89. DOI: 10.1063/1.2358834  0.639
2006 Sreenivasan R, McIntyre PC, Kim H, Saraswat KC. Effect of impurities on the fixed charge of nanoscale HfO2 films grown by atomic layer deposition Applied Physics Letters. 89: 112903. DOI: 10.1063/1.2348735  0.566
2006 Okyay AK, Chui CO, Saraswat KC. Leakage suppression by asymmetric area electrodes in metal-semiconductor- metal photodetectors Applied Physics Letters. 88. DOI: 10.1063/1.2171648  0.717
2006 Saraswat K, Chui CO, Krishnamohan T, Kim D, Nayfeh A, Pethe A. High performance germanium MOSFETs Materials Science and Engineering B: Solid-State Materials For Advanced Technology. 135: 242-249. DOI: 10.1016/J.Mseb.2006.08.014  0.827
2005 Kim H, Saraswat KC, McIntyre PC. Comparative Study on Electrical and Microstructural Characteristics of ZrO2 and HfO2 Grown by Atomic Layer Deposition Journal of Materials Research. 20: 3125-3132. DOI: 10.1557/Jmr.2005.0394  0.576
2005 Kapur P, Kekatpure RD, Saraswat KC. Minimizing power dissipation in optical interconnects at low voltage using optimal modulator design Ieee Transactions On Electron Devices. 52: 1713-1721. DOI: 10.1109/Ted.2005.851821  0.546
2005 Nayfeh A, Chui CO, Yonehara T, Saraswat KC. Fabrication of high-quality p-MOSFET in Ge Grown heteroepitaxially on Si Ieee Electron Device Letters. 26: 311-313. DOI: 10.1109/Led.2005.846578  0.757
2005 Chui CO, Kulig L, Moran J, Tsai W, Saraswat KC. Germanium n -type shallow junction activation dependences Applied Physics Letters. 87. DOI: 10.1063/1.2037861  0.621
2005 Seo KI, McIntyre PC, Sun S, Lee DI, Pianetta P, Saraswat KC. Chemical states and electronic structure of a HfO 2/Ge(001) interface Applied Physics Letters. 87. DOI: 10.1063/1.2006211  0.472
2005 Chui CO, Lee DI, Singh AA, Pianetta PA, Saraswat KC. Zirconia-germanium interface photoemission spectroscopy using synchrotron radiation Journal of Applied Physics. 97. DOI: 10.1063/1.1922090  0.654
2005 Seo K, McIntyre PC, Kim H, Saraswat KC. Formation of an interfacial Zr-silicate layer between ZrO2 and Si through in situ vacuum annealing Applied Physics Letters. 86: 082904. DOI: 10.1063/1.1866644  0.657
2005 Saraswat KC, Chui CO, Krishnamohan T, Nayfeh A, McIntyre P. Ge based high performance nanoscale MOSFETs Microelectronic Engineering. 80: 15-21. DOI: 10.1016/J.Mee.2005.04.038  0.827
2004 Saraswat KC, Chui CO, Krishnamohan T, Okyay AK, Kim H, McIntyre P. Ge and SiGe for High Performance MOSFETs and Integrated Optical Interconnects The Japan Society of Applied Physics. 2004: 718-719. DOI: 10.7567/Ssdm.2004.A-8-1  0.781
2004 Kim H, McIntyre PC, Saraswat KC. Microstructural evolution of ZrO2–HfO2 nanolaminate structures grown by atomic layer deposition Journal of Materials Research. 19: 643-650. DOI: 10.1557/Jmr.2004.19.2.643  0.545
2004 Bakir MS, Chui CO, Okyay AK, Saraswat KC, Meindl JD. Integration of optical polymer pillars chip I/O interconnections with Si MSM photodetectors Ieee Transactions On Electron Devices. 51: 1084-1090. DOI: 10.1109/Ted.2004.830643  0.786
2004 Chui CO, Ito F, Saraswat KC. Scalability and electrical properties of germanium oxynitride MOS dielectrics Ieee Electron Device Letters. 25: 613-615. DOI: 10.1109/Led.2004.833830  0.668
2004 Chui CO, Kim H, McIntyre PC, Saraswat KC. Atomic layer deposition of high-κ dielectric for germanium MOS applications - substrate surface preparation Ieee Electron Device Letters. 25: 274-276. DOI: 10.1109/Led.2004.827285  0.733
2004 Cho H, Kapur P, Saraswat KC. Power comparison between high-speed electrical and optical interconnects for interchip communication Journal of Lightwave Technology. 22: 2021-2033. DOI: 10.1109/Jlt.2004.833531  0.635
2004 Nayfeh A, Chui CO, Saraswat KC, Yonehara T. Effects of hydrogen annealing on heteroepitaxial-Ge layers on Si: Surface roughness and electrical quality Proceedings - Electrochemical Society. 7: 1189-1192. DOI: 10.1063/1.1802381  0.74
2004 Kim H, McIntyre PC, Chui CO, Saraswat KC, Cho MH. Interfacial characteristics of HfO 2 grown on nitrided Ge (100) substrates by atomic-layer deposition Applied Physics Letters. 85: 2902-2904. DOI: 10.1063/1.1797564  0.723
2004 Kim H, McIntyre PC, Chui CO, Saraswat KC, Stemmer S. Engineering chemically abrupt high-k metal oxide/silicon interfaces using an oxygen-gettering metal overlayer Journal of Applied Physics. 96: 3467-3472. DOI: 10.1063/1.1776636  0.713
2004 Chi D, Chui CO, Saraswat KC, Triplett BB, Mclntyre PC. Zirconia grown by ultraviolet ozone oxidation on germanium (100) substrates Journal of Applied Physics. 96: 813-819. DOI: 10.1063/1.1745118  0.637
2004 Kim H, Marshall A, McIntyre PC, Saraswat KC. Crystallization kinetics and microstructure-dependent leakage current behavior of ultrathin HfO2 dielectrics: In situ annealing studies Applied Physics Letters. 84: 2064-2066. DOI: 10.1063/1.1667621  0.579
2003 Joshi AR, Saraswat KC. High performance submicrometer CMOS with metal induced lateral crystallization of amorphous silicon Journal of the Electrochemical Society. 150: G443-G449. DOI: 10.1149/1.1586302  0.673
2003 Shenoy RS, Saraswat KC. Optimization of extrinsic source/drain resistance in ultrathin body double-gate FETs Ieee Transactions On Nanotechnology. 2: 265-270. DOI: 10.1109/TNANO.2003.820780  0.667
2003 Joshi AR, Saraswat KC. Nickel induced crystallization of α-Si gate electrode at 500 °C and MOS capacitor reliability Ieee Transactions On Electron Devices. 50: 1058-1062. DOI: 10.1109/Ted.2003.812496  0.6
2003 Chui CO, Okyay AK, Saraswat KC. Effective dark current suppression with asymmetric MSM photodetectors in group IV semiconductors Ieee Photonics Technology Letters. 15: 1585-1587. DOI: 10.1109/Lpt.2003.818683  0.709
2003 Chui CO, Kim H, McVittie JP, Triplett BB, McIntyre PC, Saraswat KC. A novel self-aligned gate-last MOSFET process comparing high-κ candidates 2003 International Semiconductor Device Research Symposium, Isdrs 2003 - Proceedings. 464-465. DOI: 10.1109/ISDRS.2003.1272191  0.684
2003 Chui CO, Gopalakrishnan K, Griffin PB, Plummer JD, Saraswat KC. Activation and diffusion studies of ion-implanted p and n dopants in germanium Applied Physics Letters. 83: 3275-3277. DOI: 10.1063/1.1618382  0.67
2003 Kim H, Chui CO, Saraswat KC, McIntyre PC. Local epitaxial growth of ZrO2 on Ge (100) substrates by atomic layer epitaxy Applied Physics Letters. 83: 2647-2649. DOI: 10.1063/1.1613031  0.717
2003 Wang D, Wang Q, Javey A, Tu R, Dai H, Kim H, McIntyre PC, Krishnamohan T, Saraswat KC. Germanium nanowire field-effect transistors with SiO2 and high-κ HfO2 gate dielectrics Applied Physics Letters. 83: 2432-2434. DOI: 10.1063/1.1611644  0.764
2003 Lee S, Kim H, McIntyre PC, Saraswat KC, Byun J. Atomic layer deposition of ZrO2 on W for metal–insulator–metal capacitor application Applied Physics Letters. 82: 2874-2876. DOI: 10.1063/1.1569985  0.58
2003 Kim H, McIntyre PC, Saraswat KC. Effects of crystallization on the electrical properties of ultrathin HfO2 dielectrics grown by atomic layer deposition Applied Physics Letters. 82: 106-108. DOI: 10.1063/1.1533117  0.581
2003 Joshi AR, Krishnamohan T, Saraswat KC. A model for crystal growth during metal induced lateral crystallization of amorphous silicon Journal of Applied Physics. 93: 175-181. DOI: 10.1063/1.1526937  0.726
2003 Kapur P, Saraswat KC. Optical interconnects for future high performance integrated circuits Physica E: Low-Dimensional Systems and Nanostructures. 16: 620-627. DOI: 10.1016/S1386-9477(02)00686-0  0.573
2002 Chui CO, Ramanathan S, Triplett BB, McIntyre PC, Saraswat KC. Germanium MOS capacitors incorporating ultrathin high-κ gate dielectric Ieee Electron Device Letters. 23: 473-475. DOI: 10.1109/Led.2002.801319  0.672
2002 Shieh BP, Deal MD, Saraswat KC, Choudhury R, Park CW, Sukharev V, Loh W, Wright P. Electromigration reliability of low capacitance air-gap interconnect structures Proceedings of the Ieee 2002 International Interconnect Technology Conference, Iitc 2002. 203-205. DOI: 10.1109/IITC.2002.1014934  0.777
2002 Kapur P, Chandra G, McVittie JP, Saraswat KC. Technology and reliability constrained future copper interconnects - Part II: Performance implications Ieee Transactions On Electron Devices. 49: 598-604. DOI: 10.1109/16.992868  0.544
2002 Kapur P, McVittie JP, Saraswat KC. Technology and reliability constrained future copper interconnects - Part I: Resistance modeling Ieee Transactions On Electron Devices. 49: 590-597. DOI: 10.1109/16.992867  0.552
2002 Liu Y, Deal MD, Saraswat KC, Plummer JD. Single-crystalline Si on insulator in confined structures fabricated by two-step metal-induced crystallization of amorphous Si Applied Physics Letters. 81: 4634-4636. DOI: 10.1063/1.1527977  0.613
2002 Perkins CM, Triplett BB, McIntyre PC, Saraswat KC, Shero E. Thermal stability of polycrystalline silicon electrodes on ZrO2 gate dielectrics Applied Physics Letters. 81: 1417-1419. DOI: 10.1063/1.1499513  0.396
2001 Abdollahi-Alibeik S, Zheng J, McVittie JP, Saraswat KC, Gabriel CT, Abraham SC. Modeling and simulation of feature-size-dependent etching of metal stacks Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 19: 179-185. DOI: 10.1116/1.1340664  0.786
2001 Banerjee K, Souri SJ, Kapur P, Saraswat KC. 3-D ICs: A novel chip design for improving deep-submieroraeter interconnect performance and systems-on-chip integration and systems-on-chlp integration Proceedings of the Ieee. 89: 602-632. DOI: 10.1109/5.929647  0.771
2001 Davis JA, Venkatesan R, Kaloyeros A, Beylansky M, Souri SJ, Banerjee K, Saraswat KC, Rahman A, Reif R, Meindl JD. Interconnect limits on gigascale integration (GSI) in the 21st century Proceedings of the Ieee. 89: 305-322. DOI: 10.1109/5.915376  0.798
2001 Perkins CM, Triplett BB, McIntyre PC, Saraswat KC, Haukka S, Tuominen M. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition Applied Physics Letters. 78: 2357-2359. DOI: 10.1063/1.1362331  0.414
2001 Sukharev V, Shieh BP, Choudhury R, Park C, Saraswat KC. Reliability studies on multilevel interconnection with intermetal dielectric air gaps Microelectronics Reliability. 41: 1631-1635. DOI: 10.1016/S0026-2714(01)00153-6  0.772
2000 Toita M, Kalavade P, Saraswat KC. Control of Amorphous Silicon Crystallization Using Germanium Deposited by Low Pressure Chemical Vapor Deposition Mrs Proceedings. 609. DOI: 10.1557/Proc-609-A9.5  0.775
2000 Wang AW, Saraswat KC. A strategy for modeling of variations due to grain size in poly crystalline thin-film transistors Ieee Transactions On Electron Devices. 47: 1035-1043. DOI: 10.1109/16.841238  0.31
1999 Park H, Ko D, Apte P, Helms CR, Saraswat KC. In Situ Removal of Native Oxides from Silicon Surfaces Using Anhydrous Hydrogen Fluoride Gas Electrochemical and Solid State Letters. 1: 77-79. DOI: 10.1149/1.1390642  0.304
1999 Abdollahi-Alibeik S, McVittie JP, Saraswat KC, Sukharev V, Schoenborn P. Analytical modeling of silicon etch process in high density plasma Journal of Vacuum Science and Technology. 17: 2485-2491. DOI: 10.1116/1.581986  0.782
1999 Subramanian V, Toita M, Ibrahim NR, Souri SJ, Saraswat KC. Low-leakage germanium-seeded laterally-crystallized single-grain 100-nm TFT's for vertical integration applications Ieee Electron Device Letters. 20: 341-343. DOI: 10.1109/55.772370  0.803
1999 Yang T, Sachdev P, Saraswat KC. Dependence of Fermi level positions at gate and substrate on the reliability of ultrathin MOS gate oxides Ieee Transactions On Electron Devices. 46: 1457-1463. DOI: 10.1109/16.772491  0.387
1999 Smeys P, Griffin P, Rek Z, De Wolf I, Saraswat K. Influence of process-induced stress on device characteristics and its impact on scaled device performance Ieee Transactions On Electron Devices. 46: 1245-1252. DOI: 10.1109/16.766893  0.314
1999 Bhat N, Wang AW, Saraswat KC. Rapid thermal anneal of gate oxides for low thermal budget TFT's Ieee Transactions On Electron Devices. 46: 63-69. DOI: 10.1109/16.737442  0.362
1998 Wang AW, Saraswat KC. Evidence For Heterojunction Effects in Polycrystalline Si 1- x Ge x Thin Film Transistors With Si Caps Mrs Proceedings. 533: 145. DOI: 10.1557/Proc-533-145  0.36
1998 Sharangpani R, Das J, Tay SP, Thakur RPS, Yang TC, Saraswat KC. Growth And Characterization of Thin Wet Oxides Grown by Rapid Thermal Processing Mrs Proceedings. 525. DOI: 10.1557/Proc-525-143  0.357
1998 Wang AW, Saraswat KC. Passivation of Poly-Si Thin-Film Transistors With Ion-Implanted Deuterium Mrs Proceedings. 508: 85. DOI: 10.1557/Proc-508-85  0.364
1998 Kapur P, Bang DS, McVittie JP, Saraswat KC, Mountsier T. Method for angular sputter yield extraction for high-density plasma chemical vapor deposition simulators Journal of Vacuum Science & Technology B. 16: 1123-1128. DOI: 10.1116/1.590020  0.588
1998 Shieh B, Saraswat KC, McVittie JP, List S, Nag S, Islamraja M, Havemann RH. Air-gap formation during IMD deposition to lower interconnect capacitance Ieee Electron Device Letters. 19: 16-18. DOI: 10.1109/55.650339  0.344
1998 Subramanian V, Saraswat K. High-performance germanium-seeded laterally crystallized TFTs for vertical device integration Ieee Transactions On Electron Devices. 45: 1934-1939. DOI: 10.1109/16.711358  0.425
1998 Subramanian V, Saraswat K. Optimization of silicon-germanium TFT's through the control of amorphous precursor characteristics Ieee Transactions On Electron Devices. 45: 1690-1695. DOI: 10.1109/16.704366  0.39
1998 Bhat N, Saraswat KC. Characterization of border trap generation in rapid thermally annealed oxides deposited using silane chemistry Journal of Applied Physics. 84: 2722-2726. DOI: 10.1063/1.368384  0.354
1997 Tomita S, Jurichich S, Saraswat KC. Transistor sizing for AMLCD integrated TFT drive circuits Journal of the Society For Information Display. 5: 399-404. DOI: 10.1889/1.1985187  0.782
1997 Saraswat KC, Subramanian V, Jurichich S. A Low Temperature Polycrystalline Si TFT Technology for Large area AMLCD Drivers Mrs Proceedings. 472. DOI: 10.1557/Proc-472-439  0.826
1997 Jurichich S, Wood SC, Saraswat KC. Cost modeling of low-temperature large-area polysilicon thin film transistor liquid crystal display manufacturing Proceedings of Spie - the International Society For Optical Engineering. 3014: 160-165. DOI: 10.1117/12.270291  0.806
1997 Wang AW, Saraswat KC. Effect of a silicon interlayer in low-temperature poly-SiGe thin film transistors Proceedings of Spie - the International Society For Optical Engineering. 3014: 133-140. DOI: 10.1117/12.270288  0.489
1997 Subramanian V, Saraswat KC, Hovagimian H, Mehlhaff JC. Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Electronic Imaging. 3014: 127-132. DOI: 10.1117/12.270286  0.403
1997 Subramanian V, Dankoski P, Degertekin L, Khuri-Yakub BT, Saraswat KC. Controlled two-step solid-phase crystallization for high-performance polysilicon TFT's Ieee Electron Device Letters. 18: 378-381. DOI: 10.1109/55.605445  0.362
1996 Bhat N, Wang A, Saraswat KC. Effect of annealing ambient on performance and reliability of low pressure chemical vapor deposited oxides for thin film transistors Mrs Proceedings. 424. DOI: 10.1557/Proc-424-287  0.341
1996 Wang AW, Bhat N, Saraswat KC. TMCTS for gate dielectric in thin film transistors Mrs Proceedings. 424: 281. DOI: 10.1557/Proc-424-281  0.403
1996 Subramanian V, Degertekin FL, Dankoski P, Khuri-Yakub BT, Saraswat KC. A novel technique for in-situ monitoring of crystallinity and temperature during rapid thermal annealing of thin Si/Si-Ge films on quartz/glass Mrs Proceedings. 424. DOI: 10.1557/Proc-424-267  0.595
1996 Lee YJ, Khuri-Yakub BT, Saraswat KC. Acoustic temperature and film thickness monitor and method The Journal of the Acoustical Society of America. 99: 2640. DOI: 10.1121/1.414849  0.551
1996 Khuri-Yakub BT, Pei J, Degertekin FL, Saraswat KC. In-situ simultaneous measurement of temperature and thin film thickness with ultrasonic techniques Proceedings of Spie - the International Society For Optical Engineering. 2948: 131-135. DOI: 10.1117/12.259190  0.521
1996 Jurichich S, Wood SC, Saraswat KC. Manufacturing cost of active-matrix liquid-crystal displays as a function of plant capacity Ieee Transactions On Semiconductor Manufacturing. 9: 562-572. DOI: 10.1109/66.542171  0.752
1996 Lee YJ, Khuri-Yakub BT, Saraswat K. Temperature measurement in rapid thermal processing using the acoustic temperature sensor Ieee Transactions On Semiconductor Manufacturing. 9: 115-121. DOI: 10.1109/66.484291  0.302
1996 Cao M, Talwar S, Kramer KJ, Sigmon TW, Saraswat KC. A high-performance polysilicon thin-film transistor using XeCl excimer laser crystallization of pre-patterned amorphous Si films Ieee Transactions On Electron Devices. 43: 561-567. DOI: 10.1109/16.485538  0.356
1996 Bhat N, Apte P, Saraswat K. Charge trap generation in LPCVD oxides under high field stressing Ieee Transactions On Electron Devices. 43: 554-560. DOI: 10.1109/16.485537  0.334
1995 Cao M, Wang A, Saraswat KC. Low pressure chemical vapor deposition of Si{sub 1{minus}x}Ge{sub x} films on SiO{sub 2}: Characterization and modeling Journal of the Electrochemical Society. 142. DOI: 10.1149/1.2048614  0.375
1995 Cao M, Zhao T, Saraswat KC, Plummer JD. Study on Hydrogenation of Polysilicon Thin Film Transistors by Ion Implantation Ieee Transactions On Electron Devices. 42: 1134-1140. DOI: 10.1109/16.387248  0.586
1995 Smeys PIL, Griffin PB, Saraswat KC. Material properties of low pressure chemical vapor deposited silicon nitride for modeling and calibrating the simulation of advanced isolation structures Journal of Applied Physics. 78: 2837-2842. DOI: 10.1063/1.360084  0.329
1995 Pei J, Degertekin FL, Khuri‐Yakub BT, Saraswat KC. In situ thin film thickness measurement with acoustic Lamb waves Applied Physics Letters. 66: 2177-2179. DOI: 10.1063/1.113938  0.311
1995 Bang DS, Cao M, Wang A, Saraswat KC, King T. Resistivity of boron and phosphorus doped polycrystalline Si1−xGex films Applied Physics Letters. 66: 195-197. DOI: 10.1063/1.113132  0.354
1994 Jurichich S, King TJ, Saraswat K, Mehlhaff J. Low thermal budget polycrystalline silicon-germanium thin-film transistors fabricated by rapid thermal annealing Japanese Journal of Applied Physics. 33: L1139-L1141. DOI: 10.1143/Jjap.33.L1139  0.816
1994 Schaper C, Moslehi M, Saraswat K, Kailath T. Control of MMST RTP: Repeatability, Uniformity, and Integration for Flexible Manufacturing Ieee Transactions On Semiconductor Manufacturing. 7: 202-219. DOI: 10.1109/66.286856  0.317
1994 Saraswat KC, Apte PP, Booth L, Chen Y, Dankoski PCP, Degertekin FL, Franklin GF, Khuri-Yakub BT, Moslehi MM, Schaper C, Gyugyi PJ, Lee YJ, Pei J, Wood SC. Rapid Thermal Multiprocessing for a Programmable Factory for Adaptable Manufacturing of IC’s Ieee Transactions On Semiconductor Manufacturing. 7: 159-175. DOI: 10.1109/66.286852  0.305
1994 Zhao T, Cao M, Saraswat KC, Plummer JD. A Vertical Submicron Polysilicon Thin-Film Transistor Using A Low Temperature Process Ieee Electron Device Letters. 15: 415-417. DOI: 10.1109/55.320986  0.614
1994 Cao M, Zhao T, Saraswat KC, Plummer JD. A Simple EEPROM Cell using Twin Polysilicon thin Film Transistors Ieee Electron Device Letters. 15: 304-306. DOI: 10.1109/55.296224  0.573
1994 King T, Saraswat KC. Polycrystalline silicon-germanium thin-film transistors Ieee Transactions On Electron Devices. 41: 1581-1591. DOI: 10.1109/16.310109  0.443
1994 King T, McVittie J, Saraswat K, Pfiester J. Electrical properties of heavily doped polycrystalline silicon-germanium films Ieee Transactions On Electron Devices. 41: 228-232. DOI: 10.1109/16.277374  0.347
1994 Levent Degertekin F, Pei J, Khuri‐Yakub BT, Saraswat KC. Insituacoustic temperature tomography of semiconductor wafers Applied Physics Letters. 64: 1338-1340. DOI: 10.1063/1.111927  0.311
1993 Apte PP, Park H, Saraswat KC, Helms CR. Thermally Driven In-Situ Removal of Native Oxide Using Anhydrous Hydrogen Fluoride Mrs Proceedings. 318. DOI: 10.1557/Proc-318-281  0.395
1993 Degertekin F, Pei J, LEE Y, Khuri-Yakub B, Saraswat K. In-Situ Temperature Monitoring in Rtp by Acoustical Techniques Mrs Proceedings. 303. DOI: 10.1557/Proc-303-133  0.535
1993 Apte PP, Kubota T, Saraswat KC. Constant Current Stress Breakdown in Ultrathin SiO2 Films Journal of the Electrochemical Society. 140: 770-773. DOI: 10.1149/1.2056156  0.358
1993 Apte PP, Saraswat KC. SiO/sub 2/ degradation with charge injection polarity Ieee Electron Device Letters. 14: 512-514. DOI: 10.1109/55.257999  0.365
1993 Chang CY, McVittie JP, Saraswat KC, Lin KK. Backscattered deposition in Ar sputter etch of silicon dioxide Applied Physics Letters. 63: 2294-2296. DOI: 10.1063/1.110508  0.348
1992 Chu CL, Saraswat KC, Wong SS. Measurement Of Lateral Dopant Diffusion In Thin Silicide Layers Ieee Transactions On Electron Devices. 39: 2333-2340. DOI: 10.1109/16.158805  0.324
1992 Raje P, Saraswat K, Cham K. Accurate delay models for digital BiCMOS Ieee Transactions On Electron Devices. 39: 1456-1464. DOI: 10.1109/16.137326  0.334
1992 Raje P, Saraswat K, Cham K. Performance-driven scaling of BiCMOS technology Ieee Transactions On Electron Devices. 39: 685-694. DOI: 10.1109/16.123495  0.307
1992 Raje P, Saraswat K, Cham K. A new methodology for design of BiCMOS gates and comparison with CMOS Ieee Transactions On Electron Devices. 39: 339-347. DOI: 10.1109/16.121692  0.322
1992 Cao M, King T, Saraswat KC. Determination of the densities of gap states in hydrogenated polycrystalline Si and Si0.8Ge0.2 films Applied Physics Letters. 61: 672-674. DOI: 10.1063/1.107818  0.37
1992 Pavelescu C, McVittie JP, Chang C, Saraswat KC, Leong JY. High frequency C-V investigation of metal-oxide-semiconductor capacitors prepared by low temperature subatmospheric pressure chemical vapour deposition of SiO2 films on silicon substrates Thin Solid Films. 217: 68-74. DOI: 10.1016/0040-6090(92)90607-D  0.408
1991 Apte PP, Venkatraman R, Saraswat KC, Moslehi MM, Yeakley R. Demonstration of Multiprocessing by Silicon Epitaxy Following In-Situ Cleaning Mrs Proceedings. 224. DOI: 10.1557/Proc-224-273  0.402
1991 Apte PP, Wood S, Booth L, Saraswat KC, Moslehi MM. Temperature Uniformity Optimization Using Three-Zone Lamp and Dynamic Control in Rapid Thermal Multiprocessor Mrs Proceedings. 224. DOI: 10.1557/Proc-224-209  0.318
1991 King T-, Saraswat KC, Pfiester JR. PMOS transistors in LPCVD polycrystalline silicon-germanium films Ieee Electron Device Letters. 12: 584-586. DOI: 10.1109/55.119205  0.376
1991 Chu CL, Chin G, Saraswat KC, Wong SS, Dutton R. Technology limitations for N/sup +//P/sup +/ polycide gate CMOS due to lateral dopant diffusion in silicide/polysilicon layers Ieee Electron Device Letters. 12: 696-698. DOI: 10.1109/55.116959  0.328
1991 Ritts R, Raje P, Plummer J, Saraswat K, Cham K. Merged BiCMOS logic to extend the CMOS/BiCMOS performance crossover below 2.5-V supply Ieee Journal of Solid-State Circuits. 26: 1606-1614. DOI: 10.1109/4.98979  0.576
1991 IslamRaja MM, Cappelli MA, McVittie JP, Saraswat KC. A 3-dimensional model for low-pressure chemical-vapor-deposition step coverage in trenches and circular vias Journal of Applied Physics. 70: 7137-7140. DOI: 10.1063/1.349797  0.335
1991 Cheng LY, McVittie JP, Saraswat KC. New test structure to identify step coverage mechanisms in chemical vapor deposition of silicon dioxide Applied Physics Letters. 58: 2147-2149. DOI: 10.1063/1.104988  0.338
1990 Wright P, Saraswat K. Thickness limitations of SiO/sub 2/ gate dielectrics for MOS ULSI Ieee Transactions On Electron Devices. 37: 1884-1892. DOI: 10.1109/16.57140  0.368
1990 Wright P, Kermani A, Saraswat K. Nitridation and post-nitridation anneals of SiO/sub 2/ for ultrathin dielectrics Ieee Transactions On Electron Devices. 37: 1836-1841. DOI: 10.1109/16.57134  0.327
1990 Kasai N, Wright P, Saraswat K. Hot-carrier-degradation characteristics for fluorine-incorporated nMOSFET's Ieee Transactions On Electron Devices. 37: 1426-1431. DOI: 10.1109/16.106236  0.355
1989 Saraswat KC, Moslehi MM, Grossman DD, Wood S, Wright P, Booth L. Single Wafer Rapid Thermal Multiprocessing Mrs Proceedings. 146. DOI: 10.1557/Proc-146-3  0.312
1989 Joshi A, Hu HS, Yaney DL, Gardner D, Saraswat K. Fundamental factors governing improved performance of Al–Si/Ti multilayer metallization for very large scale integration Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 7: 1497-1503. DOI: 10.1116/1.576085  0.605
1989 Wright PJ, Kasai N, Inoue S, Saraswat KC. Hot-electron immunity of SiO/sub 2/ dielectrics with fluorine incorporation Ieee Electron Device Letters. 10: 347-348. DOI: 10.1109/55.31752  0.338
1989 Wong M, Saraswat K. SATPOLY: a self-aligned tungsten on polysilicon process for CMOS VLSI applications Ieee Transactions On Electron Devices. 36: 1355-1361. DOI: 10.1109/16.30941  0.356
1989 Wright P, Saraswat K. The effect of fluorine in silicon dioxide gate dielectrics Ieee Transactions On Electron Devices. 36: 879-889. DOI: 10.1109/16.299669  0.367
1988 Schreyer TA, Bariva AJ, Mcvittie JP, Saraswat KC. Specific contact resistivity measurements of reactive ion etched contacts Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 6: 1402-1406. DOI: 10.1116/1.575713  0.323
1988 Wong M, Saraswat KC. Direct tungsten on silicon dioxide formed by RF plasma-enhanced chemical vapor deposition Ieee Electron Device Letters. 9: 582-584. DOI: 10.1109/55.9283  0.365
1988 Wright P, Moslehi M, Saraswat K. Electrical characteristics and irradiation sensitivity of IGFETs with rapidly grown ultrathin gate dielectrics Ieee Transactions On Electron Devices. 35: 2438-2439. DOI: 10.1109/16.8853  0.324
1988 Wright P, Loh W, Saraswat K. Low-resistance submicrometer contacts to silicon Ieee Transactions On Electron Devices. 35: 1328-1333. DOI: 10.1109/16.2555  0.322
1988 Kao DB, Mcvittie JP, Saraswat KC, Nix WD. Two Dimensional Thermal Oxidation of Silicon—II. Modeling Stress Effects in Wet Oxides Ieee Transactions On Electron Devices. 35: 25-37. DOI: 10.1109/16.2412  0.306
1988 Moslehi MM, Kermani A, Saraswat KC. Linearly ramped temperature transient rapid thermal oxidation of silicon Applied Physics Letters. 53: 1104-1106. DOI: 10.1063/1.100656  0.329
1987 Moslehi MM, Saraswat KC, Shatas SC. Microwave Plasma LPCVD of Tungsten in a Cold-Wall Lamp-Heated Rapid Thermal Processor Mrs Proceedings. 92. DOI: 10.1557/Proc-92-295  0.352
1987 Gardner DS, Saraswat K. Multilayered Interconnections for VLSI Mrs Proceedings. 103. DOI: 10.1557/Proc-103-343  0.344
1987 Wong M, Kobayashi N, Browning R, Paine D, Saraswat KC. The Effects of Chemical Oxide on the Deposition of Tungsten by the Silicon Reduction of Tungsten Hexafluoride Journal of the Electrochemical Society. 134: 2339-2345. DOI: 10.1149/1.2100882  0.346
1987 Moslehi MM, Shatas SC, Saraswat KC, Meindl JD. Interfacial and breakdown characteristics of MOS devices with rapidly grown ultrathin SiO 2 gate insulators Ieee Transactions On Electron Devices. 34: 1407-1410. DOI: 10.1109/T-Ed.1987.23098  0.605
1987 Kao D, McVittie JP, Nix WD, Saraswat KC. Two-dimensional thermal oxidation of silicon—I. Experiments Ieee Transactions On Electron Devices. 34: 1008-1017. DOI: 10.1109/T-Ed.1987.23037  0.362
1987 Gardner DS, Meindl JD, Saraswat KC. Interconnection and Electromigration Scaling Theory Ieee Transactions On Electron Devices. 34: 633-643. DOI: 10.1109/T-Ed.1987.22974  0.555
1987 Moslehi MM, Saraswat KC. Formation of MOS Gates by rapid thermal/microwave remote-plasma multiprocessing Ieee Electron Device Letters. 8: 421-424. DOI: 10.1109/Edl.1987.26680  0.367
1986 Loh WM, Wright PJ, Schreyer TA, Swirhun SE, Saraswat KC, Meindl JD. IVB-7 the sidewall resistor—A novel test structure to reliably extract specific contact resistivity Ieee Transactions On Electron Devices. 33: 1855-1856. DOI: 10.1109/T-Ed.1986.22803  0.548
1986 Loh WM, Wright PJ, Schreyer TA, Swirhun SE, Saraswat KC, Meindl JD. The sidewall resistor—A novel test structure to reliably extract specific contact resistivity Ieee Electron Device Letters. 7: 477-479. DOI: 10.1109/Edl.1986.26445  0.547
1986 Moslehi MM, Han CJ, Saraswat KC, Helms CR, Shatas S. Compositional Studies of Thermally Nitrided Silicon Dioxide (Nitroxide). Cheminform. 17. DOI: 10.1002/Chin.198601032  0.308
1985 Moslehi MM, Han CJ, Saraswat KC, Helms CR, Shatas S. Compositional Studies of Thermally Nitrided Silicon Dioxide (Nitroxide) Journal of the Electrochemical Society. 132: 2189-2197. DOI: 10.1149/1.2114317  0.325
1985 Han CJ, Moslehi MM, Helms CR, Saraswat KC. Summary Abstract: Characterization of thermally nitrided SiO2 using Auger sputter profiling Journal of Vacuum Science and Technology. 3: 804-805. DOI: 10.1116/1.573314  0.304
1985 Kao DB, Saraswat KC, Mcvittie JP. Annealing of Oxide Fixed Charges in Scaled Polysilicon Gate MOS Structures Ieee Transactions On Electron Devices. 32: 918-925. DOI: 10.1109/T-Ed.1985.22048  0.426
1985 Shenai K, Swanson RM, Saraswat KC, Dutton RW, Sangiorgi E. Modeling and Characterization of Dopant Redistributions in Metal and Silicide Contacts Ieee Transactions On Electron Devices. 32: 793-799. DOI: 10.1109/T-Ed.1985.22022  0.4
1985 Gardner DS, Michalka TL, Saraswat KC, Barbee TW, Mcvittie JP, Meindl JD. Layered and Homogeneous Films of Aluminum and Aluminum/Silicon with Titanium and Tungsten for Multilevel Interconnects Ieee Transactions On Electron Devices. 32: 174-183. DOI: 10.1109/T-Ed.1985.21927  0.601
1985 Moslehi MM, Saraswat KC. Thermal nitridation of Si and SiO 2 for VLSI Ieee Transactions On Electron Devices. 32: 106-123. DOI: 10.1109/T-Ed.1985.21920  0.464
1985 Swirhun S, Sangiorgi E, Weeks A, Swanson R, Saraswat K, Dutton R. A VLSI-suitable Schottky-barrier CMOS process Ieee Transactions On Electron Devices. 32: 194-202. DOI: 10.1109/Jssc.1985.1052283  0.343
1985 Singh HJ, Saraswat KC, Shott JD, Mcvittie JP, Meindl JD. Hydrogenation by Ion Implantation for Scaled SOI/PMOS Transistors Ieee Electron Device Letters. 6: 139-141. DOI: 10.1109/Edl.1985.26073  0.577
1985 Moslehi MM, Saraswat KC, Shatas SC. Rapid Thermal Nitridation of SiO2 for Nitroxide Thin Dielectrics Applied Physics Letters. 47: 1113-1115. DOI: 10.1063/1.96347  0.37
1985 Moslehi MM, Shatas SC, Saraswat KC. Thin SiO2 insulators grown by rapid thermal oxidation of silicon Applied Physics Letters. 47: 1353-1355. DOI: 10.1063/1.96278  0.302
1985 Moslehi MM, Fu CY, Saraswat K. Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge Journal of Applied Physics. 58: 2416-2419. DOI: 10.1063/1.335915  0.38
1984 Singh HJ, Saraswat KC, Meindl JD. VB-2 hydrogenation by ion implantation for VLSI/SOI applications Ieee Transactions On Electron Devices. 31: 1981-1982. DOI: 10.1109/T-Ed.1984.21877  0.482
1984 Monnig KA, Brors DL, Fair JA, Coney W, Saraswat KC. IIB-4 properties and deposition of low-pressure CVD tungsten-silicon films Ieee Transactions On Electron Devices. 31: 1965-1966. DOI: 10.1109/T-Ed.1984.21836  0.324
1984 Gardner D, Michalka T, Saraswat K, McVittie J, Barbee T, Meindl J. IIB-3 aluminum alloys with titanium, tungsten, and copper for multilayer interconnections Ieee Transactions On Electron Devices. 31: 1965-1965. DOI: 10.1109/T-Ed.1984.21835  0.488
1984 Swirhun S, Saraswat KC, Swanson RM. Contact Resistance of LPCVD W/Al and PtSi/W/Al Metallization Ieee Electron Device Letters. 5: 209-211. DOI: 10.1109/Edl.1984.25890  0.338
1984 Sangiorgi E, Shenai K, Saraswat KC, Swanson RM, Dutton RW. Accurate Barrier Modeling of Metal and Silicide Contacts Ieee Electron Device Letters. 5: 145-147. DOI: 10.1109/Edl.1984.25864  0.378
1984 Lie LN, Tiller WA, Saraswat KC. Thermal oxidation of silicides Journal of Applied Physics. 56: 2127-2132. DOI: 10.1063/1.334212  0.334
1983 Saraswat KC, Brors DL, Fair JA, Monnig KA, Beyers R. Properties of low-pressure CVD tungsten silicide for MOS VLSI interconnections Ieee Transactions On Electron Devices. 30: 1497-1505. DOI: 10.1109/T-Ed.1983.21328  0.431
1983 Saraswat KC, Singh H. Thermal Oxidation Of Heavily Phosphorus-Doped Thin Films Of Polycrystalline Silicon Cheminform. 14. DOI: 10.1002/Chin.198306034  0.359
1982 Saraswat KC, Singh H. Thermal Oxidation of Heavily Phosphorus‐Doped Thin Films of Polycrystalline Silicon Journal of the Electrochemical Society. 129: 2321-2326. DOI: 10.1149/1.2123503  0.34
1982 Saraswat KC, Mohammadi F. Effect of Scaling of Interconnections on the Time Delay of VLSI Circuits Ieee Transactions On Electron Devices. 29: 645-650. DOI: 10.1109/Jssc.1982.1051729  0.316
1982 Saraswat KC, Nowicki RS, Moulder JF. Thermal oxidation of tantalum silicide in O2and H2O Applied Physics Letters. 41: 1127-1129. DOI: 10.1063/1.93424  0.376
1981 Saraswat KC. Physical and Electrical Properties of Polycrystalline Silicon Thin Films Mrs Proceedings. 5. DOI: 10.1557/Proc-5-261  0.358
1981 Mandurah MM, Saraswat KC, Kamins TI. A model for conduction in polycrystalline silicon—Part II: Comparison of theory and experiment Ieee Transactions On Electron Devices. 28: 1171-1176. DOI: 10.1109/T-Ed.1981.20505  0.348
1981 Mandurah MM, Saraswat KC, Kamins TI. A model for conduction in polycrystalline silicon—Part I: Theory Ieee Transactions On Electron Devices. 28: 1163-1171. DOI: 10.1109/T-Ed.1981.20504  0.314
1980 Mohammadi F, Saraswat KC. Properties of Sputtered Tungsten Silicide for MOS Integrated Circuit Applications Journal of the Electrochemical Society. 127: 450-454. DOI: 10.1149/1.2129686  0.44
1980 Mohammadi F, Saraswat KC, Meindl JD. A High-Voltage MOSFET in Polycrystalline Silicon Ieee Transactions On Electron Devices. 27: 293-295. DOI: 10.1109/T-Ed.1980.19854  0.637
1980 Kamins TI, Lee KF, Gibbons JF, Saraswat KC. A monolithic integrated circuit fabricated in laser-annealed polysilicon Ieee Transactions On Electron Devices. 27: 290-293. DOI: 10.1109/T-Ed.1980.19853  0.429
1980 Saraswat KC, Mohammadi F. Work Function of WSI<inf>2</inf> Ieee Electron Device Letters. 1: 18-19. DOI: 10.1109/Edl.1980.25213  0.369
1980 Rouse J, Mohammadi F, Helms CR, Saraswat KC. Studies of steam-oxidized WSi2 by Auger sputter profiling Applied Physics Letters. 37: 305-307. DOI: 10.1063/1.91915  0.399
1980 Mandurah MM, Saraswat KC, Kamins TI. Arsenic segregation in polycrystalline silicon Applied Physics Letters. 36: 683-685. DOI: 10.1063/1.91623  0.351
1980 Mandurah MM, Saraswat KC, Helms CR, Kamins TI. Dopant segregation in polycrystalline silicon Journal of Applied Physics. 51: 5755-5763. DOI: 10.1063/1.327582  0.339
1980 Lee KF, Gibbons JF, Saraswat KC, Kamins TI, Lam HW, Tasch AF, Holloway TC. Properties Of Mosfets Fabricated In Laser-Annealed Polysilicon Films Laser and Electron Beam Processing of Materials. 632-638. DOI: 10.1016/B978-0-12-746850-1.50092-X  0.387
1980 Mohammadi F, Saraswat KC. Properties Of Sputtered Tungsten Silicide For Mos Integrated Circuit Applications Cheminform. 11. DOI: 10.1002/Chin.198026328  0.316
1979 Mandurah MM, Saraswat KC, Kamins TI. Phosphorus Doping of Low Pressure Chemically Vapor‐Deposited Silicon Films Journal of the Electrochemical Society. 126: 1019-1023. DOI: 10.1149/1.2129167  0.338
1979 Reif R, Kamins TI, Saraswat KC. A Model for Dopant Incorporation into Growing Silicon Epitaxial Films II . Comparison of Theory and Experiment Journal of the Electrochemical Society. 126: 653-660. DOI: 10.1149/1.2129103  0.309
1979 Reif R, Kamins TI, Saraswat KC. A Model for Dopant Incorporation into Growing Silicon Epitaxial Films I . Theory Journal of the Electrochemical Society. 126: 644-652. DOI: 10.1149/1.2129102  0.326
1979 Mohammadi F, Saraswat KC, Meindl JD. Kinetics of the thermal oxidation of WSi2 Applied Physics Letters. 35: 529-531. DOI: 10.1063/1.91197  0.588
1979 Lee KF, Gibbons JF, Saraswat KC, Kamins TI. Thin film MOSFET's fabricated in laser-annealed polycrystalline silicon Applied Physics Letters. 35: 173-175. DOI: 10.1063/1.91025  0.401
1979 Mandurah MM, Saraswat KC, Kamins TI. Phosphorus Doping Of Low Pressure Chemically Vapor-Deposited Silicon Films Cheminform. 10. DOI: 10.1002/Chin.197942005  0.339
1978 Kamins TI, Mandurah MM, Saraswat KC. Structure and Stability of Low Pressure Chemically Vapor‐Deposited Silicon Films Journal of the Electrochemical Society. 125: 927-932. DOI: 10.1149/1.2131593  0.33
1978 Reif R, Kamins TI, Saraswat K. Transient and Steady‐State Response of the Dopant System of a Silicon Epitaxial Reactor: Transfer‐Function Approach Journal of the Electrochemical Society. 125: 1860-1866. DOI: 10.1149/1.2131311  0.323
1978 Reif R, Saraswat KC, Kamins TI. WP-A4 a model for dopant incorporation into silicon epitaxial films Ieee Transactions On Electron Devices. 25: 1358-1358. DOI: 10.1109/T-Ed.1978.19345  0.31
1978 Saraswat KC, Meindl JD. Breakdown walkout in planar p-n junctions Solid State Electronics. 21: 813-819. DOI: 10.1016/0038-1101(78)90305-2  0.556
1978 Kamins TI, Mandurah MM, Saraswat KC. Structure And Stability Of Low Pressure Chemically Vapor-Deposited Silicon Films Cheminform. 9. DOI: 10.1002/Chin.197838014  0.323
1977 Saraswat KC, Meindl JD. Low Temperature Diffusion of Boron from Diborane Using Carbon Dioxide as Oxidant Journal of the Electrochemical Society. 124: 471-472. DOI: 10.1149/1.2133329  0.51
1977 Saraswat KC, Meindl JD. Low Temperature Diffusion Of Boron From Diborane Using Carbon Dioxide As Oxidant Cheminform. 8. DOI: 10.1002/Chin.197725008  0.51
1976 Saraswat KC, Meindl JD. A New Bipolar Process Borsenic Ieee Journal of Solid-State Circuits. 11: 495-500. DOI: 10.1109/Jssc.1976.1050765  0.577
1975 Saraswat KC, Meindl JD, Berger J. A High Voltage MOS Switch Ieee Journal of Solid-State Circuits. 10: 136-142. DOI: 10.1109/Jssc.1975.1050578  0.581
Show low-probability matches.