Year |
Citation |
Score |
2020 |
Pranda A, Lin K, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Significance of plasma-photoresist interactions for atomic layer etching processes with extreme ultraviolet photoresist Journal of Vacuum Science and Technology. 38: 52601. DOI: 10.1116/6.0000289 |
0.809 |
|
2020 |
Lin K, Li C, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Selective atomic layer etching of HfO2 over silicon by precursor and substrate-dependent selective deposition Journal of Vacuum Science & Technology A. 38: 032601. DOI: 10.1116/1.5143247 |
0.814 |
|
2020 |
Buzi L, Miyazoe H, Sagianis MP, Marchack N, Papalia JM, Engelmann SU. Utilizing photosensitive polymers to evaluate UV radiation exposures in different plasma chamber configurations Journal of Vacuum Science and Technology. 38: 33006. DOI: 10.1116/1.5143032 |
0.517 |
|
2020 |
Marchack N, Innocent-Dolor J, Hopstaken M, Engelmann S. Control of surface oxide formation in plasma-enhanced quasiatomic layer etching of tantalum nitride Journal of Vacuum Science and Technology. 38: 22609. DOI: 10.1116/1.5140457 |
0.515 |
|
2020 |
Barwicz T, Kamlapurkar S, Engelmann S, Fortier P, Boyer N, Janta-Polczynski A, Takenobu S, Watanabe K, Langlois R, Taira Y, Suematsu K, Numata H, Peng B. Advances in Interfacing Optical Fibers to Nanophotonic Waveguides Via Mechanically Compliant Polymer Waveguides Ieee Journal of Selected Topics in Quantum Electronics. 26: 1-12. DOI: 10.1109/Jstqe.2020.2964383 |
0.306 |
|
2019 |
Marchack N, Hernandez K, Walusiak B, Innocent‐Dolor J, Engelmann S. Cover Picture: Plasma Process. Polym. 9/2019 Plasma Processes and Polymers. 16: 1970019. DOI: 10.1002/Ppap.201970019 |
0.418 |
|
2019 |
Marchack N, Hernandez K, Walusiak B, Innocent‐Dolor J, Engelmann S. Utilizing surface modification in plasma‐enhanced cyclic etching of tantalum nitride to surpass lithographic limits Plasma Processes and Polymers. 16: 1900008. DOI: 10.1002/Ppap.201900008 |
0.46 |
|
2018 |
Lin K, Li C, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Achieving ultrahigh etching selectivity of SiO2 over Si3N4 and Si in atomic layer etching by exploiting chemistry of complex hydrofluorocarbon precursors Journal of Vacuum Science & Technology A. 36: 040601. DOI: 10.1116/1.5035291 |
0.811 |
|
2018 |
Marchack N, Miyazoe H, Bruce RL, Tsai H, Nakamura M, Suzuki T, Ito A, Matsumoto H, Engelmann SU, Joseph EA. Nitride etching with hydrofluorocarbons. II. Evaluation of C4H9F for tight pitch Si3N4 patterning applications Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 36: 031801. DOI: 10.1116/1.5020069 |
0.787 |
|
2018 |
Miyazoe H, Marchack N, Bruce RL, Zhu Y, Nakamura M, Miller E, Kanakasabapathy S, Suzuki T, Ito A, Matsumoto H, Engelmann SU, Joseph EA. Nitride etching with hydrofluorocarbons III: Comparison of C4H9F and CH3F for low-k′ nitride spacer etch processes Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 36: 032201. DOI: 10.1116/1.5019016 |
0.818 |
|
2017 |
Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma. The Journal of Chemical Physics. 146: 052801. PMID 28178847 DOI: 10.1063/1.4961458 |
0.859 |
|
2017 |
Marchack N, Khater M, Orcutt J, Chang J, Holmes S, Barwicz T, Kamlapurkar S, Green W, Engelmann S. Reducing Line Edge Roughness in Si and SiN through plasma etch chemistry optimization for photonic waveguide applications Proceedings of Spie. 10149. DOI: 10.1117/12.2258112 |
0.438 |
|
2017 |
Bruce RL, Fraczak G, Papalia JM, Tsai H, BrightSky M, Miyazoe H, Zhu Y, Engelmann SU, Lung H, Masuda T, Suu K, Liu C, Tang H, Arnold JC, Felix N, et al. Directed self-assembly patterning strategies for phase change memory applications Proceedings of Spie. 10149. DOI: 10.1117/12.2257829 |
0.714 |
|
2017 |
Engelmann SU, Bruce RL, Joseph EA, Fuller NCM, Graham WS, Sikorski EM, Kohjasteh M, Zhu Y, Nakamura M, Ito A, Matsumoto H, Matsuura G, Suzuki T. Nitride etching with hydrofluorocarbons. I. Selective etching of nitride over silicon and oxide materials by gas discharge optimization and selective deposition of fluorocarbon polymer Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 35: 051803. DOI: 10.1116/1.5003824 |
0.818 |
|
2017 |
Marchack N, Papalia JM, Engelmann S, Joseph EA. Cyclic Cl2/H2 quasi-atomic layer etching approach for TiN and TaN patterning using organic masks Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 35: 05C314. DOI: 10.1116/1.4995413 |
0.752 |
|
2017 |
Miyazoe H, Engelmann SU, Guillorn MA, Pei D, Li W, Lauer JL, Shohet JL, Fuller NCM. Effects of ultraviolet and vacuum ultraviolet synchrotron radiation on organic underlayers to modulate line-edge roughness of fine-pitch poly-silicon patterns Journal of Vacuum Science and Technology. 35. DOI: 10.1116/1.4985541 |
0.45 |
|
2017 |
Miyazoe H, Jagtiani AV, Tsai H, Engelmann SU, Joseph EA. Highly selective dry etching of polystyrene-poly(methyl methacrylate) block copolymer by gas pulsing carbon monoxide-based plasmas Journal of Physics D: Applied Physics. 50: 204001. DOI: 10.1088/1361-6463/Aa68C6 |
0.737 |
|
2017 |
Walton S, Boris D, Hernández S, Lock E, Petrova TB, Petrov G, Jagtiani A, Engelmann S, Miyazoe H, Joseph E. Electron beam generated plasmas: Characteristics and etching of silicon nitride Microelectronic Engineering. 168: 89-96. DOI: 10.1016/J.Mee.2016.11.003 |
0.729 |
|
2016 |
Papalia J, Marchack N, Bruce R, Miyazoe H, Engelmann S, Joseph EA. Applications for surface engineering using atomic layer Etching Solid State Phenomena. 255: 41-48. DOI: 10.4028/Www.Scientific.Net/Ssp.255.41 |
0.832 |
|
2016 |
Barwicz T, Martin Y, Nah JW, Kamlapurkar S, Bruce RL, Engelmann S, Vlasov YA. Demonstration of self-aligned flip-chip photonic assembly with 1.1dB loss and > 120nm bandwidth Frontiers in Optics. DOI: 10.1364/Fio.2016.Ff5F.3 |
0.624 |
|
2016 |
Papalia JM, Marchack N, Bruce RL, Miyazoe H, Engelmann SU, Joseph EA. Evaluation of ALE processes for patterning Proceedings of Spie - the International Society For Optical Engineering. 9782. DOI: 10.1117/12.2219280 |
0.817 |
|
2016 |
Metzler D, Weilnboeck F, Engelmann S, Bruce RL, Oehrlein GS. He plasma pretreatment of organic masking materials for performance improvement during pattern transfer by plasma etching Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. 34. DOI: 10.1116/1.4949274 |
0.828 |
|
2016 |
Jagtiani AV, Miyazoe H, Chang J, Farmer DB, Engel M, Neumayer D, Han SJ, Engelmann SU, Boris DR, Hernández SC, Lock EH, Walton SG, Joseph EA. Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low Te plasma sources Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4936622 |
0.723 |
|
2016 |
Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Fluorocarbon assisted atomic layer etching of SiO2 and Si using cyclic Ar/C4F8 and Ar/CHF3 plasma Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4935462 |
0.849 |
|
2016 |
Metzler D, Uppireddi K, Bruce RL, Miyazoe H, Zhu Y, Price W, Sikorski ES, Li C, Engelmann SU, Joseph EA, Oehrlein GS. Application of cyclic fluorocarbon/argon discharges to device patterning Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4935460 |
0.823 |
|
2015 |
Engelmann SU, Bruce RL, Nakamura M, Metzler D, Walton SG, Joseph EA. Challenges of tailoring surface chemistry and plasma/surface interactions to advance atomic layer etching Ecs Journal of Solid State Science and Technology. 4: N5054-N5060. DOI: 10.1149/2.0101506Jss |
0.841 |
|
2015 |
Metzler D, Weilnboeck F, Hernández SC, Walton SG, Bruce RL, Engelmann S, Salamanca-Riba L, Oehrlein GS. Formation of nanometer-thick delaminated amorphous carbon layer by two-step plasma processing of methacrylate-based polymer Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. 33. DOI: 10.1116/1.4928493 |
0.836 |
|
2014 |
Tsai H, Pitera JW, Miyazoe H, Bangsaruntip S, Engelmann SU, Liu CC, Cheng JY, Bucchignano JJ, Klaus DP, Joseph EA, Sanders DP, Colburn ME, Guillorn MA. Two-dimensional pattern formation using graphoepitaxy of PS-b-PMMA block copolymers for advanced FinFET device and circuit fabrication. Acs Nano. 8: 5227-32. PMID 24670216 DOI: 10.1021/Nn501300B |
0.686 |
|
2014 |
Brink M, Lauer I, Engelmann SU, Majumdar A, Cohen SA, Kratschmer E, Guillorn MA. Contamination mitigation of hydrogen silsesquioxane resist processed with Na+-containing developer for nanoscale CMOS device patterning Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 32. DOI: 10.1116/1.4867653 |
0.366 |
|
2014 |
Metzler D, Bruce RL, Engelmann S, Joseph EA, Oehrlein GS. Fluorocarbon assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma Journal of Vacuum Science and Technology. 32: 20603. DOI: 10.1116/1.4843575 |
0.854 |
|
2013 |
Joseph EA, Engelmann SU, Miyazoe H, Bruce RL, Nakamura M, Suzuki T, Hoinkis M. Advanced plasma etch for the 10nm node and beyond Proceedings of Spie - the International Society For Optical Engineering. 8685. DOI: 10.1117/12.2015189 |
0.817 |
|
2013 |
Tsai HY, Miyazoe H, Engelmann S, Bangsaruntip S, Lauer I, Bucchignano J, Klaus D, Gignac L, Joseph E, Cheng J, Sanders D, Guillorn M. Pattern transfer of directed self-assembly (DSA) patterns for CMOS device applications Proceedings of Spie - the International Society For Optical Engineering. 8685. DOI: 10.1117/12.2014259 |
0.722 |
|
2013 |
Tsai HY, Miyazoe H, Engelmann S, Liu CC, Gignac L, Bucchignano J, Klaus D, Breslin C, Joseph E, Cheng J, Sanders D, Guillorn M. Pattern transfer of directed self-assembly patterns for CMOS device applications Journal of Micro/Nanolithography, Mems, and Moems. 12. DOI: 10.1117/1.Jmm.12.4.041305 |
0.723 |
|
2013 |
Zhang Z, Koswatta SO, Bedell SW, Baraskar A, Guillorn M, Engelmann SU, Zhu Y, Gonsalves J, Pyzyna A, Hopstaken M, Witt C, Yang L, Liu F, Newbury J, Song W, et al. Ultra low contact resistivities for CMOS beyond 10-nm node Ieee Electron Device Letters. 34: 723-725. DOI: 10.1109/Led.2013.2257664 |
0.345 |
|
2013 |
Liu F, Fletcher B, Joseph EA, Zhu Y, Gonsalves J, Price W, Fritz GM, Engelmann SU, Pyzyna A, Zhang Z, Cabral C, Guillorn MA. Subtractive W contact and local interconnect co-integration (CLIC) Proceedings of the 2013 Ieee International Interconnect Technology Conference, Iitc 2013. DOI: 10.1109/IITC.2013.6615550 |
0.564 |
|
2013 |
Bruce RL, Engelmann S, Purushothaman S, Volksen W, Frot TJ, Magbitang T, Dubois G, Darnon M. Investigation of plasma etch damage to porous oxycarbosilane ultra low-k dielectric Journal of Physics D: Applied Physics. 46. DOI: 10.1088/0022-3727/46/26/265303 |
0.737 |
|
2012 |
Shohet JL, Ren H, Nichols MT, Sinha H, Lu W, Mavrakakis K, Lin Q, Russell NM, Tomoyasu M, Antonelli GA, Engelmann SU, Fuller NC, Ryan V, Nishi Y. The effects of plasma exposure on low-k dielectric materials Proceedings of Spie - the International Society For Optical Engineering. 8328. DOI: 10.1117/12.917967 |
0.371 |
|
2012 |
Engelmann SU, Martin R, Bruce RL, Miyazoe H, Fuller NCM, Graham WS, Sikorski EM, Glodde M, Brink M, Tsai H, Bucchignano J, Klaus D, Kratschmer E, Guillorn MA. Patterning of CMOS device structures for 40-80nm pitches and beyond Proceedings of Spie - the International Society For Optical Engineering. 8328. DOI: 10.1117/12.916447 |
0.679 |
|
2012 |
Tsai HY, Miyazoe H, Engelmann S, To B, Sikorski E, Bucchignano J, Klaus D, Liu CC, Cheng J, Sanders D, Fuller N, Guillorn M. Sub-30 nm pitch line-space patterning of semiconductor and dielectric materials using directed self-assembly Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 30. DOI: 10.1116/1.4767237 |
0.466 |
|
2012 |
Sinha H, Ren H, Nichols MT, Lauer JL, Tomoyasu M, Russell NM, Jiang G, Antonelli GA, Fuller NC, Engelmann SU, Lin Q, Ryan V, Nishi Y, Shohet JL. The effects of vacuum ultraviolet radiation on low-k dielectric films Journal of Applied Physics. 112. DOI: 10.1063/1.4751317 |
0.301 |
|
2011 |
Glodde M, Engelmann S, Guillorn M, Kanakasabapathy S, Mclellan E, Koay CS, Yin Y, Sankarapandian M, Arnold JC, Petrillo K, Brink M, Miyazoe H, De Silva EA, Yusuff H, Yoon KS, et al. Systematic studies on reactive ion etch-induced deformations of organic underlayers Proceedings of Spie - the International Society For Optical Engineering. 7972. DOI: 10.1117/12.879442 |
0.454 |
|
2011 |
Weilnboeck F, Metzler D, Kumar N, Oehrlein GS, Bruce RL, Engelmann S, Fuller N. Characterization and mechanism of He plasma pretreatment of nanoscale polymer masks for improved pattern transfer fidelity Applied Physics Letters. 99. DOI: 10.1063/1.3671995 |
0.837 |
|
2010 |
Harrer S, Arnold JC, Goldfarb DL, Holmes SJ, Chen R, Tang C, Slezak M, Fender N, Della Guardia RA, Joseph EA, Engelmann SU, Chen ST, Horak D, Yin Y, Varanasi RP, et al. Fabrication of dual damascene BEOL structures using a multi-level multiple exposure (MLME) scheme - Part 2. RIE-based pattern transfer and completion of dual damascene process yielding an electrically functional via chain Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.846593 |
0.714 |
|
2010 |
Goldfarb DL, Harrer S, Arnold JC, Holmes SJ, Chen R, Tang C, Fender N, Slezak M, Della Guardia RA, Joseph EA, Engelmann SU, Varanasi RP, Colburn ME. Fabrication of dual damascene BEOL structures using a Multi-Level Multiple Exposure (MLME) scheme - Part 1. Lithographic patterning Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.846443 |
0.695 |
|
2010 |
Sinha H, Straight DB, Lauer JL, Fuller NC, Engelmann SU, Zhang Y, Antonelli GA, Severson M, Nishi Y, Shohet JL. Reflectance and substrate currents of dielectric layers under vacuum ultraviolet irradiation Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 28: 1316-1318. DOI: 10.1116/1.3488594 |
0.339 |
|
2010 |
Weilnboeck F, Bruce RL, Engelmann S, Oehrlein GS, Nest D, Chung TY, Graves D, Li M, Wang D, Andes C, Hudson EA. Photoresist modifications by plasma vacuum ultraviolet radiation: The role of polymer structure and plasma chemistry Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: 993-1004. DOI: 10.1116/1.3484249 |
0.838 |
|
2010 |
Bangsaruntip S, Majumdar A, Cohen GM, Engelmann SU, Zhang Y, Guillorn M, Gignac LM, Mittal S, Graham WS, Joseph EA, Klaus DP, Chang J, Cartier EA, Sleight JW. Gate-all-around silicon nanowire 25-stage CMOS ring oscillators with diameter down to 3 nm Digest of Technical Papers - Symposium On Vlsi Technology. 21-22. DOI: 10.1109/VLSIT.2010.5556136 |
0.603 |
|
2009 |
Guillorn M, Chang J, Fuller N, Patel J, Darnon M, Pyzyna A, Joseph E, Engelmann S, Ott J, Newbury J, Klaus D, Bucchignano J, Joshi P, Scerbo C, Kratschmer E, et al. Hydrogen silsesquioxane-based hybrid electron beam and optical lithography for high density circuit prototyping Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 27: 2588-2592. DOI: 10.1116/1.3246357 |
0.683 |
|
2009 |
Engelmann S, Bruce RL, Weilnboeck F, Sumiya M, Kwon T, Phaneuf R, Oehrlein GS, Andes C, Graves D, Nest D, Hudson EA. Dependence of photoresist surface modifications during plasma-based pattern transfer on choice of feedgas composition: Comparison of C4 F 8 - And CF4 -based discharges Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 27: 1165-1179. DOI: 10.1116/1.3137012 |
0.852 |
|
2009 |
Bruce RL, Engelmann S, Lin T, Kwon T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG, V́gh JJ, Nest D, Graves DB, Alizadeh A. Study of ion and vacuum ultraviolet-induced effects on styrene- and ester-based polymers exposed to argon plasma Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 27: 1142-1155. DOI: 10.1116/1.3136864 |
0.78 |
|
2009 |
Engelmann S, Bruce RL, Sumiya M, Kwon T, Phaneuf R, Oehrlein GS, Andes C, Graves D, Nest D, Hudson EA. Plasma-surface interactions of advanced photoresists with C4 F8 Ar discharges: Plasma parameter dependencies Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 27: 92-106. DOI: 10.1116/1.3054342 |
0.805 |
|
2009 |
Pal AR, Bruce RL, Weilnboeck F, Engelmann S, Lin T, Kuo MS, Phaneuf R, Oehrlein GS. Real-time studies of surface roughness development and reticulation mechanism of advanced photoresist materials during plasma processing Journal of Applied Physics. 105. DOI: 10.1063/1.3055268 |
0.84 |
|
2009 |
Nest D, Chung TY, Graves DB, Engelmann S, Bruce RL, Weilnboeck F, Oehrlein GS, Wang D, Andes C, Hudson EA. Understanding the roughening and degradation of 193 nm photoresist during plasma processing: synergistic roles of vacuum ultraviolet radiation and ion bombardment Plasma Processes and Polymers. 6: 649-657. DOI: 10.1002/Ppap.200900039 |
0.841 |
|
2009 |
Engelmann S, Bruce RL, Weilnboeck F, Oehrlein GS, Nest D, Graves DB, Andes C, Hudson EA. Dependence of polymer surface roughening rate on deposited energy density during plasma processing Plasma Processes and Polymers. 6: 484-489. DOI: 10.1002/Ppap.200900004 |
0.825 |
|
2008 |
Sumiya M, Bruce R, Engelmann S, Weilnboeck F, Oehrlein GS. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposure III. Effect of fluorocarbon film and initial surface condition on photoresist degradation Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1978-1986. DOI: 10.1116/1.3021037 |
0.843 |
|
2008 |
Sumiya M, Bruce R, Engelmann S, Weilnboeck F, Oehrlein GS. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposures. II. Plasma parameter trends for photoresist degradation Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1647-1653. DOI: 10.1116/1.2960563 |
0.85 |
|
2008 |
Sumiya M, Bruce R, Engelmann S, Weilnboeck F, Oehrlein GS. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposure. I. Studies of modified layer formation Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1637-1646. DOI: 10.1116/1.2960561 |
0.85 |
|
2008 |
V́gh JJ, Nest D, Graves DB, Bruce R, Engelmann S, Kwon T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG. Molecular dynamics simulations of near-surface modification of polystyrene: Bombardment with Ar+ and Ar+ /radical chemistries Journal of Applied Physics. 104. DOI: 10.1063/1.2963708 |
0.757 |
|
2008 |
Nest D, Graves DB, Engelmann S, Bruce RL, Weilnboeck F, Oehrlein GS, Andes C, Hudson EA. Synergistic effects of vacuum ultraviolet radiation, ion bombardment, and heating in 193 nm photoresist roughening and degradation Applied Physics Letters. 92. DOI: 10.1063/1.2912028 |
0.814 |
|
2007 |
Engelmann S, Bruce RL, Kwon T, Phaneuf R, Oehrlein GS, Bae YC, Andes C, Graves D, Nest D, Hudson EA, Lazzeri P, Iacob E, Anderle M. Plasma-surface interactions of model polymers for advanced photoresists using C4 F8 Ar discharges and energetic ion beams Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 25: 1353-1364. DOI: 10.1116/1.2759935 |
0.807 |
|
2007 |
V́gh JJ, Nest D, Graves DB, Bruce R, Engelmann S, Kwon T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG. Near-surface modification of polystyrene by Ar+: Molecular dynamics simulations and experimental validation Applied Physics Letters. 91. DOI: 10.1063/1.2821226 |
0.758 |
|
Show low-probability matches. |