Carlton G. Willson - Publications

Affiliations: 
Chemical Engineering University of Texas at Austin, Austin, Texas, U.S.A. 
Area:
Chemical Engineering, Materials Science Engineering

30 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2019 Joo W, Chen CH, Moerdyk JP, Deschner RP, Bielawski CW, Willson CG. Photoinitiated ring‐opening metathesis polymerization Journal of Polymer Science Part a: Polymer Chemistry. 57: 1791-1795. DOI: 10.1002/Pola.29449  0.303
2016 Azarnouche L, Sirard SM, Durand WJ, Blachut G, Gurer E, Hymes DJ, Ellison CJ, Willson CG, Graves DB. Plasma and photon interactions with organosilicon polymers for directed self-assembly patterning applications Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 34: 061602. DOI: 10.1116/1.4964885  0.357
2014 Takei S, Ogawa T, Deschner R, Willson CG. Reduction of pattern peeling in step-and-flash imprint lithography Microelectronic Engineering. 116: 44-50. DOI: 10.1016/J.Mee.2013.10.010  0.302
2011 Bruce RL, Weilnboeck F, Lin T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG, Alizadeh A. On the absence of post-plasma etch surface and line edge roughness in vinylpyridine resists Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 29. DOI: 10.1116/1.3607604  0.343
2010 Carcasi M, Somervell M, Scheer S, Chauhan S, Strahan J, Willson CG. Extension of 248 nm Monte Carlo, mesoscale models to 193 nm platforms Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.846658  0.307
2010 Bruce RL, Lin T, Phaneuf RJ, Oehrlein GS, Bell W, Long B, Willson CG. Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: 751-757. DOI: 10.1116/1.3455496  0.342
2010 Nest D, Chung TY, Végh JJ, Graves DB, Bruce RL, Lin T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG. Role of polymer structure and ceiling temperature in polymer roughening and degradation during plasma processing: A beam system study of P4MS and PαMS Journal of Physics D: Applied Physics. 43. DOI: 10.1088/0022-3727/43/8/085204  0.356
2010 Bruce RL, Weilnboeck F, Lin T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG, Vegh JJ, Nest D, Graves DB. Relationship between nanoscale roughness and ion-damaged layer in argon plasma exposed polystyrene films Journal of Applied Physics. 107. DOI: 10.1063/1.3373587  0.324
2010 Takei S, Ogawa T, Deschner R, Hanabata M, Willson CG. Advanced step and flash nanoimprint lithography using UV-sensitive hard mask underlayer material Micro and Nano Letters. 5: 117-120. DOI: 10.1049/Mnl.2010.0014  0.332
2009 Meiring JE, Lee S, Costner EA, Schmid MJ, Michaelson TB, Willson CG, Grayson SM. Pattern recognition of shape-encoded hydrogel biosensor arrays Optical Engineering. 48. DOI: 10.1117/1.3099722  0.61
2009 Bruce RL, Engelmann S, Lin T, Kwon T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG, V́gh JJ, Nest D, Graves DB, Alizadeh A. Study of ion and vacuum ultraviolet-induced effects on styrene- and ester-based polymers exposed to argon plasma Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 27: 1142-1155. DOI: 10.1116/1.3136864  0.341
2007 V́gh JJ, Nest D, Graves DB, Bruce R, Engelmann S, Kwon T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG. Near-surface modification of polystyrene by Ar+: Molecular dynamics simulations and experimental validation Applied Physics Letters. 91. DOI: 10.1063/1.2821226  0.301
2006 Schmid MJ, Manthiram K, Grayson SM, Willson JC, Meiring JE, Bell KM, Ellington AD, Willson CG. Feature multiplexing--improving the efficiency of microarray devices. Angewandte Chemie (International Ed. in English). 45: 3338-41. PMID 16607663 DOI: 10.1002/Anie.200502151  0.584
2005 Kim EK, Stewart MD, Wu K, Palmieri FL, Dickey MD, Ekerdt JG, Willson CG. Vinyl ether formulations for step and flash imprint lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 23: 2967-2971. DOI: 10.1116/1.2131881  0.337
2005 Johnson S, Burns R, Kim EK, Dickey M, Schmid G, Meiring J, Burns S, Willson CG, Convey D, Wei Y, Fejes P, Gehoski K, Mancini D, Nordquist K, Dauksher WJ, et al. Effects of etch barrier densification on step and flash imprint lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 23: 2553-2556. DOI: 10.1116/1.2102971  0.321
2004 Kim EK, Stacey NA, Smith BJ, Dickey MD, Johnson SC, Trinque BC, Willson CG. Vinyl ethers in ultraviolet curable formulations for step and flash imprint lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 22: 131-135. DOI: 10.1116/1.1635849  0.381
2004 Meiring JE, Schmid MJ, Grayson SM, Rathsack BM, Johnson DM, Kirby R, Kannappan R, Manthiram K, Hsia B, Hogan ZL, Ellington AD, Pishko MV, Willson CG. Hydrogel biosensor array platform indexed by shape Chemistry of Materials. 16: 5574-5580. DOI: 10.1021/Cm049488J  0.624
2003 Resnick DJ, Dauksher WJ, Mancini D, Nordquist KJ, Bailey TC, Johnson S, Stacey N, Ekerdt JG, Willson CG, Sreenivasan SV, Schumaker N. Imprint lithography for integrated circuit fabrication Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 21: 2624-2631. DOI: 10.1116/1.1618238  0.349
2003 Resnick DJ, Mancini D, Dauksher WJ, Nordquist K, Bailey TC, Johnson S, Sreenivasan SV, Ekerdt JG, Willson CG. Improved step and flash imprint lithography templates for nanofabrication Microelectronic Engineering. 69: 412-419. DOI: 10.1016/S0167-9317(03)00329-0  0.363
2003 Johnson S, Resnick DJ, Mancini D, Nordquist K, Dauksher WJ, Gehoski K, Baker JH, Dues L, Hooper A, Bailey TC, Sreenivasan SV, Ekerdt JG, Willson CG. Fabrication of multi-tiered structures on step and flash imprint lithography templates Microelectronic Engineering. 67: 221-228. DOI: 10.1016/S0167-9317(03)00075-3  0.333
2002 Bailey TC, Johnson SC, Sreenivasan SV, Ekerdt JG, Willson CG, Resnick DJ. Step and flash imprint lithography: An efficient nanoscale printing technology Journal of Photopolymer Science and Technology. 15: 481-486. DOI: 10.2494/Photopolymer.15.481  0.398
2002 Resnick DJ, Dauksher WJ, Mancini D, Nordquist KJ, Ainley E, Gehoski K, Baker JH, Bailey TC, Choi BJ, Johnson S, Sreenivasan SV, Ekerdt JG, Willson CG. High resolution templates for step and flash imprint lithography Journal of Microlithography, Microfabrication and Microsystems. 1: 284-289. DOI: 10.1117/1.1508410  0.371
2002 Dauksher WJ, Nordquist KJ, Mancini DP, Resnick DJ, Baker JH, Hooper AE, Talin AA, Bailey TC, Lemonds AM, Sreenivasan SV, Ekerdt JG, Willson CG. Characterization of and imprint results using indium tin oxide-based step and flash imprint lithography templates Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 2857-2861. DOI: 10.1116/1.1520575  0.305
2002 Mancini DP, Gehoski KA, Ainley E, Nordquist KJ, Resnick DJ, Bailey TC, Sreenivasan SV, Ekerdt JG, Willson CG. Hydrogen silsesquioxane for direct electron-beam patterning of step and flash imprint lithography templates Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 2896-2901. DOI: 10.1116/1.1515311  0.344
2002 Bailey TC, Resnick DJ, Mancini D, Nordquist KJ, Dauksher WJ, Ainley E, Talin A, Gehoski K, Baker JH, Choi BJ, Johnson S, Colburn M, Meissl M, Sreenivasan SV, Ekerdt JG, ... Willson CG, et al. Template fabrication schemes for step and flash imprint lithography Microelectronic Engineering. 61: 461-467. DOI: 10.1016/S0167-9317(02)00462-8  0.353
2000 Bailey T, Choi BJ, Colburn M, Meissl M, Shaya S, Ekerdt JG, Sreenivasan SV, Willson CG. Step and flash imprint lithography: Template surface treatment and defect analysis Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 18: 3572-3577. DOI: 10.1116/1.1324618  0.338
1999 Ruchhoeft P, Colburn M, Choi B, Nounu H, Johnson S, Bailey T, Damle S, Stewart M, Ekerdt J, Sreenivasan SV, Wolfe JC, Willson CG. Patterning curved surfaces: Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography Journal of Vacuum Science & Technology B. 17: 2965-2969. DOI: 10.1116/1.590935  0.367
1999 Flanagin LW, McAdams CL, Hinsberg WD, Sanchez IC, Willson CG. Mechanism of phenolic polymer dissolution: importance of acid-base equilibria Macromolecules. 32: 5337-5343. DOI: 10.1021/Ma990271Y  0.318
1998 Okoroanyanwu U, Byers J, Shimokawa T, Willson CG. Alicyclic Polymers for 193 nm Resist Applications: Lithographic Evaluation Chemistry of Materials. 10: 3328-3333. DOI: 10.1021/Cm970505X  0.372
1997 Tsiartas PC, Flanagin LW, Henderson CL, Hinsberg WD, Sanchez IC, Bonnecaze RT, Willson CG. The mechanism of phenolic polymer dissolution: A new perspective Macromolecules. 30: 4656-4664. DOI: 10.1021/Ma9707594  0.313
Show low-probability matches.