David Blaauw - Publications

Affiliations: 
University of Michigan, Ann Arbor, Ann Arbor, MI 
Area:
Electronics and Electrical Engineering, Computer Science

226 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Moon E, Barrow M, Lim J, Blaauw D, Phillips JD. Dual-Junction GaAs Photovoltaics for Low Irradiance Wireless Power Transfer in Submillimeter-Scale Sensor Nodes. Ieee Journal of Photovoltaics. 10: 1721-1726. PMID 33224555 DOI: 10.1109/JPHOTOV.2020.3025450  0.371
2020 Nason SR, Vaskov AK, Willsey MS, Welle EJ, An H, Vu PP, Bullard AJ, Nu CS, Kao JC, Shenoy KV, Jang T, Kim HS, Blaauw D, Patil PG, Chestek CA. A low-power band of neuronal spiking activity dominated by local single units improves the performance of brain-machine interfaces. Nature Biomedical Engineering. PMID 32719512 DOI: 10.1038/S41551-020-0591-0  0.314
2020 Park D, Pal S, Feng S, Gao P, Tan J, Rovinski A, Xie S, Zhao C, Amarnath A, Wesley T, Beaumont J, Chen K, Chakrabarti C, Taylor MB, Mudge T, ... Blaauw D, et al. A 7.3 M Output Non-Zeros/J, 11.7 M Output Non-Zeros/GB Reconfigurable Sparse Matrix–Matrix Multiplication Accelerator Ieee Journal of Solid-State Circuits. 55: 933-944. DOI: 10.1109/Jssc.2019.2960480  0.355
2020 Chuo L, Feng Z, Kim Y, Chiotellis N, Yasuda M, Miyoshi S, Kawaminami M, Grbic A, Wentzloff D, Blaauw D, Kim H. Millimeter-Scale Node-to-Node Radio Using a Carrier Frequency-Interlocking IF Receiver for a Fully Integrated 4$\times$ 4$\times$ 4 mm3 Wireless Sensor Node Ieee Journal of Solid-State Circuits. 55: 1128-1138. DOI: 10.1109/Jssc.2019.2959505  0.367
2020 Lee J, Miyoshi S, Kawaminami M, Blaauw D, Sylvester D, Zhang Y, Dong Q, Lim W, Saligane M, Kim Y, Jeong S, Lim J, Yasuda M. A Self-Tuning IoT Processor Using Leakage-Ratio Measurement for Energy-Optimal Operation Ieee Journal of Solid-State Circuits. 55: 87-97. DOI: 10.1109/Jssc.2019.2939890  0.463
2020 Wang J, Wang X, Eckert C, Subramaniyan A, Das R, Blaauw D, Sylvester D. A 28-nm Compute SRAM With Bit-Serial Logic/Arithmetic Operations for Programmable In-Memory Vector Computing Ieee Journal of Solid-State Circuits. 55: 76-86. DOI: 10.1109/Jssc.2019.2939682  0.371
2019 Moon E, Lee I, Blaauw D, Phillips JD. High-Efficiency Photovoltaic Modules on a Chip for Millimeter-Scale Energy Harvesting. Progress in Photovoltaics. 27: 540-546. PMID 34354330 DOI: 10.1002/pip.3132  0.322
2019 Katz E, Bollella P, Lee I, Blaauw D. A Microelectronic Sensor Device Powered by a Small Implantable Biofuel Cell †. Chemphyschem : a European Journal of Chemical Physics and Physical Chemistry. PMID 31408568 DOI: 10.1002/Cphc.201900700  0.393
2019 Li Z, Xiang J, Gong L, Blaauw D, Chakrabarti C, Kim HS. Low Complexity, Hardware-Efficient Neighbor-Guided SGM Optical Flow for Low-Power Mobile Vision Applications Ieee Transactions On Circuits and Systems For Video Technology. 29: 2191-2204. DOI: 10.1109/Tcsvt.2018.2854284  0.381
2019 Peng Y, Choo KD, Oh S, Lee I, Jang T, Kim Y, Lim J, Blaauw D, Sylvester D. An Efficient Piezoelectric Energy Harvesting Interface Circuit Using a Sense-and-Set Rectifier Ieee Journal of Solid-State Circuits. 54: 3348-3361. DOI: 10.1109/Jssc.2019.2945262  0.469
2019 Choo KD, Xu L, Kim Y, Seol J, Wu X, Sylvester D, Blaauw D. Energy-Efficient Motion-Triggered IoT CMOS Image Sensor With Capacitor Array-Assisted Charge-Injection SAR ADC Ieee Journal of Solid-State Circuits. 54: 2921-2931. DOI: 10.1109/Jssc.2019.2939664  0.383
2019 Oh S, Kim H, Sylvester D, Cho M, Shi Z, Lim J, Kim Y, Jeong S, Chen Y, Rothe R, Blaauw D. An Acoustic Signal Processing Chip With 142-nW Voice Activity Detection Using Mixer-Based Sequential Frequency Scanning and Neural Network Classification Ieee Journal of Solid-State Circuits. 54: 3005-3016. DOI: 10.1109/Jssc.2019.2936756  0.372
2019 Li Z, Wang J, Sylvester D, Blaauw D, Kim HS. A 1920 $\times$ 1080 25-Frames/s 2.4-TOPS/W Low-Power 6-D Vision Processor for Unified Optical Flow and Stereo Depth With Semi-Global Matching Ieee Journal of Solid-State Circuits. 54: 1048-1058. DOI: 10.1109/Jssc.2018.2885559  0.357
2019 Jeong J, Jeong S, Sylvester D, Blaauw D, Kim C. A 42 nJ/Conversion On-Demand State-of-Charge Indicator for Miniature IoT Li-Ion Batteries Ieee Journal of Solid-State Circuits. 54: 524-537. DOI: 10.1109/Jssc.2018.2876472  0.432
2019 Dong Q, Wang Z, Lim J, Zhang Y, Sinangil ME, Shih Y, Chih Y, Chang J, Blaauw D, Sylvester D. A 1-Mb 28-nm 1T1MTJ STT-MRAM With Single-Cap Offset-Cancelled Sense Amplifier and In Situ Self-Write-Termination Ieee Journal of Solid-State Circuits. 54: 231-239. DOI: 10.1109/Jssc.2018.2872584  0.405
2019 Moon E, Lee I, Blaauw D, Phillips JD. High‐efficiency photovoltaic modules on a chip for millimeter‐scale energy harvesting Progress in Photovoltaics: Research and Applications. 27: 540-546. DOI: 10.1002/Pip.3132  0.333
2018 Pannuto P, Kempke B, Chuo L, Blaauw D, Dutta P. Harmonium Acm Transactions On Sensor Networks. 14: 1-29. DOI: 10.1145/3185752  0.37
2018 Jang T, Jeong S, Jeon D, Choo KD, Sylvester D, Blaauw D. A Noise Reconfigurable All-Digital Phase-Locked Loop Using a Switched Capacitor-Based Frequency-Locked Loop and a Noise Detector Ieee Journal of Solid-State Circuits. 53: 50-65. DOI: 10.1109/Jssc.2017.2776313  0.352
2018 Dong Q, Jeloka S, Saligane M, Kim Y, Kawaminami M, Harada A, Miyoshi S, Yasuda M, Blaauw D, Sylvester D. A 4 + 2T SRAM for Searching and In-Memory Computing With 0.3-V $V_{\mathrm {DDmin}}$ Ieee Journal of Solid-State Circuits. 53: 1006-1015. DOI: 10.1109/Jssc.2017.2776309  0.354
2018 Zhang Y, Xu L, Dong Q, Wang J, Blaauw D, Sylvester D. Recryptor: A Reconfigurable Cryptographic Cortex-M0 Processor With In-Memory and Near-Memory Computing for IoT Security Ieee Journal of Solid-State Circuits. 53: 995-1005. DOI: 10.1109/Jssc.2017.2776302  0.344
2018 Li Z, Dong Q, Saligane M, Kempke B, Gong L, Zhang Z, Dreslinski R, Sylvester D, Blaauw D, Kim H. A 1920 $\times $ 1080 30-frames/s 2.3 TOPS/W Stereo-Depth Processor for Energy-Efficient Autonomous Navigation of Micro Aerial Vehicles Ieee Journal of Solid-State Circuits. 53: 76-90. DOI: 10.1109/Jssc.2017.2751501  0.386
2018 Zhang Y, Khayatzadeh M, Yang K, Saligane M, Pinckney N, Alioto M, Blaauw D, Sylvester D. iRazor: Current-Based Error Detection and Correction Scheme for PVT Variation in 40-nm ARM Cortex-R4 Processor Ieee Journal of Solid-State Circuits. 53: 619-631. DOI: 10.1109/Jssc.2017.2749423  0.442
2018 Jeong S, Chen Y, Jang T, Tsai JM, Blaauw D, Kim H, Sylvester D. Always-On 12-nW Acoustic Sensing and Object Recognition Microsystem for Unattended Ground Sensor Nodes Ieee Journal of Solid-State Circuits. 53: 261-274. DOI: 10.1109/Jssc.2017.2728787  0.43
2017 Moon E, Blaauw D, Phillips JD. Infrared Energy Harvesting in Millimeter-Scale GaAs Photovoltaics. Ieee Transactions On Electron Devices. 64: 4554-4560. PMID 29129936 DOI: 10.1109/Ted.2017.2746094  0.361
2017 Moon E, Blaauw D, Phillips JD. Subcutaneous Photovoltaic Infrared Energy Harvesting for Bio-Implantable Devices. Ieee Transactions On Electron Devices. 64: 2432-2437. PMID 29056754 DOI: 10.1109/Ted.2017.2681694  0.368
2017 Lee I, Bang S, Kim Y, Kim G, Sylvester D, Blaauw D, Lee Y. A Wire-overhead-free Reset Propagation Scheme for Millimeter-scale Sensor Systems Journal of Semiconductor Technology and Science. 17: 524-533. DOI: 10.5573/Jsts.2017.17.4.524  0.559
2017 Dong Q, Yang K, Fick L, Fick D, Blaauw D, Sylvester D. Low-Power and Compact Analog-to-Digital Converter Using Spintronic Racetrack Memory Devices Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 25: 907-918. DOI: 10.1109/Tvlsi.2016.2622224  0.374
2017 Moon E, Blaauw D, Phillips JD. Small-Area Si Photovoltaics for Low-Flux Infrared Energy Harvesting Ieee Transactions On Electron Devices. 64: 15-20. DOI: 10.1109/Ted.2016.2626246  0.382
2017 Jang T, Kim G, Kempke B, Henry MB, Chiotellis N, Pfeiffer C, Kim D, Kim Y, Foo Z, Kim H, Grbic A, Sylvester D, Kim H, Wentzloff DD, Blaauw D. Circuit and System Designs of Ultra-Low Power Sensor Nodes With Illustration in a Miniaturized GNSS Logger for Position Tracking: Part II—Data Communication, Energy Harvesting, Power Management, and Digital Circuits Ieee Transactions On Circuits and Systems I: Regular Papers. 64: 2250-2262. DOI: 10.1109/Tcsi.2017.2730638  0.464
2017 Jang T, Kim G, Kempke B, Henry MB, Chiotellis N, Pfeiffer C, Kim D, Kim Y, Foo Z, Kim H, Grbic A, Sylvester D, Kim H, Wentzloff DD, Blaauw D. Circuit and System Designs of Ultra-Low Power Sensor Nodes With Illustration in a Miniaturized GNSS Logger for Position Tracking: Part I—Analog Circuit Techniques Ieee Transactions On Circuits and Systems I: Regular Papers. 64: 2237-2249. DOI: 10.1109/Tcsi.2017.2730600  0.426
2017 Yang K, Blaauw D, Sylvester D. Hardware Designs for Security in Ultra-Low-Power IoT Systems: An Overview and Survey Ieee Micro. 37: 72-89. DOI: 10.1109/Mm.2017.4241357  0.361
2017 Pinckney N, Jeloka S, Dreslinski R, Mudge T, Sylvester D, Blaauw D, Shifren L, Cline B, Sinha S. Impact of FinFET on Near-Threshold Voltage Scalability Ieee Design & Test. 34: 31-38. DOI: 10.1109/Mdat.2016.2630303  0.8
2017 Wu X, Choo K, Shi Y, Chuo L, Sylvester D, Blaauw D. A Fully Integrated Counter Flow Energy Reservoir for Peak Power Delivery in Small Form-Factor Sensor Systems Ieee Journal of Solid-State Circuits. 52: 3155-3167. DOI: 10.1109/Jssc.2017.2734801  0.466
2017 Jeon D, Dong Q, Kim Y, Wang X, Chen S, Yu H, Blaauw D, Sylvester D. A 23-mW Face Recognition Processor with Mostly-Read 5T Memory in 40-nm CMOS Ieee Journal of Solid-State Circuits. 52: 1628-1642. DOI: 10.1109/Jssc.2017.2661838  0.374
2017 Lee I, Sylvester D, Blaauw D. A Subthreshold Voltage Reference With Scalable Output Voltage for Low-Power IoT Systems Ieee Journal of Solid-State Circuits. 52: 1443-1449. DOI: 10.1109/Jssc.2017.2654326  0.425
2017 Wu X, Shi Y, Jeloka S, Yang K, Lee I, Lee Y, Sylvester D, Blaauw D. A 20-pW Discontinuous Switched-Capacitor Energy Harvester for Smart Sensor Applications Ieee Journal of Solid-State Circuits. 52: 972-984. DOI: 10.1109/Jssc.2016.2645741  0.66
2017 Shim M, Jeong S, Myers PD, Bang S, Shen J, Kim C, Sylvester D, Blaauw D, Jung W. Edge-Pursuit Comparator: An Energy-Scalable Oscillator Collapse-Based Comparator With Application in a 74.1 dB SNDR and 20 kS/s 15 b SAR ADC Ieee Journal of Solid-State Circuits. 52: 1077-1090. DOI: 10.1109/Jssc.2016.2631299  0.424
2016 Wu X, Shi Y, Jeloka S, Yang K, Lee I, Sylvester D, Blaauw D. A 66pW Discontinuous Switch-Capacitor Energy Harvester for Self-Sustaining Sensor Applications. Symposium On Vlsi Circuits : [Proceedings]. Symposium On Vlsi Circuits. 2016. PMID 28392977  0.319
2016 Teran AS, Moon E, Lim W, Kim G, Lee I, Blaauw D, Phillips JD. Energy Harvesting for GaAs Photovoltaics Under Low-Flux Indoor Lighting Conditions. Ieee Transactions On Electron Devices. 63: 2820-2825. PMID 28133394 DOI: 10.1109/Ted.2016.2569079  0.392
2016 Lee I, Sylvester D, Blaauw D. A Constant Energy-Per-Cycle Ring Oscillator Over a Wide Frequency Range for Wireless Sensor Nodes. Ieee Journal of Solid-State Circuits. 51: 697-711. PMID 27546899 DOI: 10.1109/Jssc.2016.2517133  0.439
2016 Lee I, Kuo Y, Pannuto P, Kim G, Foo Z, Kempke B, Jeong S, Kim Y, Dutta P, Blaauw D, Lee Y. MBus: A Fully Synthesizable Low-power Portable Interconnect Bus for Millimeter-scale Sensor Systems Jsts:Journal of Semiconductor Technology and Science. 16: 745-753. DOI: 10.5573/Jsts.2016.16.6.745  0.662
2016 Pinckney N, Shifren L, Cline B, Sinha S, Jeloka S, Dreslinski RG, Mudge T, Sylvester D, Blaauw D. Near-threshold computing in FinFET technologies: Opportunities for improved voltage scalability Proceedings - Design Automation Conference. 5. DOI: 10.1145/2897937.2898049  0.325
2016 Wu X, Shi Y, Jeloka S, Yang K, Lee I, Sylvester D, Blaauw D. A 66pW discontinuous switch-capacitor energy harvester for self-sustaining sensor applications Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 2016. DOI: 10.1109/VLSIC.2016.7573490  0.324
2016 Frustaci F, Blaauw D, Sylvester D, Alioto M. Approximate SRAMs With Dynamic Energy-Quality Management Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 24: 2128-2141. DOI: 10.1109/Tvlsi.2015.2503733  0.348
2016 Chen H, Jeloka S, Arunkumar A, Blaauw D, Wu C, Mudge T, Chakrabarti C. Using Low Cost Erasure and Error Correction Schemes to Improve Reliability of Commodity DRAM Systems Ieee Transactions On Computers. 65: 3766-3779. DOI: 10.1109/Tc.2016.2550455  0.304
2016 Pannuto P, Lee Y, Kuo YS, Foo ZY, Kempke B, Kim G, Dreslinski RG, Blaauw D, Dutta P. MBus: A System Integration Bus for the Modular Microscale Computing Class Ieee Micro. 36: 60-70. DOI: 10.1109/Mm.2016.41  0.663
2016 Shi Y, Choi M, Li Z, Luo Z, Kim G, Foo Z, Kim H, Wentzloff DD, Blaauw D. A 10 mm3Inductive Coupling Radio for Syringe-Implantable Smart Sensor Nodes Ieee Journal of Solid-State Circuits. 51: 2570-2583. DOI: 10.1109/Jssc.2016.2606162  0.375
2016 Lee I, Lee Y, Sylvester D, Blaauw D. Battery Voltage Supervisors for Miniature IoT Systems Ieee Journal of Solid-State Circuits. 51: 2743-2756. DOI: 10.1109/Jssc.2016.2600565  0.65
2016 Choi M, Jang T, Jeong J, Jeong S, Blaauw D, Sylvester D. A Resonant Current-Mode Wireless Power Receiver and Battery Charger With -32 dBm Sensitivity for Implantable Systems Ieee Journal of Solid-State Circuits. DOI: 10.1109/Jssc.2016.2598224  0.434
2016 Choi M, Jang T, Bang S, Shi Y, Blaauw D, Sylvester D. A 110 nW Resistive Frequency Locked On-Chip Oscillator with 34.3 ppm/°C Temperature Stability for System-on-Chip Designs Ieee Journal of Solid-State Circuits. 51: 2106-2118. DOI: 10.1109/Jssc.2016.2586178  0.396
2016 Yang K, Blaauw D, Sylvester D. An All-Digital Edge Racing True Random Number Generator Robust Against PVT Variations Ieee Journal of Solid-State Circuits. DOI: 10.1109/Jssc.2016.2519383  0.387
2016 Jeloka S, Akesh NB, Sylvester D, Blaauw D. A 28 nm Configurable Memory (TCAM/BCAM/SRAM) Using Push-Rule 6T Bit Cell Enabling Logic-in-Memory Ieee Journal of Solid-State Circuits. DOI: 10.1109/Jssc.2016.2515510  0.317
2016 Bang S, Seo Js, Chang L, Blaauw D, Sylvester D. A Low Ripple Switched-Capacitor Voltage Regulator Using Flying Capacitance Dithering Ieee Journal of Solid-State Circuits. DOI: 10.1109/Jssc.2015.2507361  0.427
2016 Bang S, Blaauw D, Sylvester D. A Successive-Approximation Switched-Capacitor DC–DC Converter With Resolution of [Formula: see text] for a Wide Range of Input and Output Voltages Ieee Journal of Solid-State Circuits. DOI: 10.1109/Jssc.2015.2501985  0.387
2016 Yoon D, Jang T, Sylvester D, Blaauw D. A 5.58 nW Crystal Oscillator Using Pulsed Driver for Real-Time Clocks Ieee Journal of Solid-State Circuits. DOI: 10.1109/Jssc.2015.2501982  0.418
2016 Chen Y, Chiotellis N, Chuo L, Pfeiffer C, Shi Y, Dreslinski RG, Grbic A, Mudge T, Wentzloff DD, Blaauw D, Kim HS. Energy-Autonomous Wireless Communication for Millimeter-Scale Internet-of-Things Sensor Nodes Ieee Journal On Selected Areas in Communications. 34: 3962-3977. DOI: 10.1109/Jsac.2016.2612041  0.392
2016 Lee Y, Blaauw D, Sylvester D. Ultralow Power Circuit Design for Wireless Sensor Nodes for Structural Health Monitoring Proceedings of the Ieee. 104: 1529-1546. DOI: 10.1109/JPROC.2016.2547946  0.58
2016 Jung W, Gu J, Myers PD, Shim M, Jeong S, Yang K, Choi M, Foo Z, Bang S, Oh S, Sylvester D, Blaauw D. 8.5 A 60%-efficiency 20nW-500μW tri-output fully integrated power management unit with environmental adaptation and load-proportional biasing for IoT systems Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 59: 154-155. DOI: 10.1109/ISSCC.2016.7417953  0.347
2016 Chen Y, Lu S, Kim HS, Blaauw D, Dreslinski RG, Mudge T. A low power software-defined-radio baseband processor for the Internet of Things Proceedings - International Symposium On High-Performance Computer Architecture. 2016: 40-51. DOI: 10.1109/HPCA.2016.7446052  0.386
2016 Dreslinski RG, Fick D, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Mudge T, Sylvester D, Blaauw D. Centip3De: A 64-core, 3D stacked, near-threshold system 2012 Ieee Hot Chips 24 Symposium, Hcs 2012. DOI: 10.1109/HOTCHIPS.2012.7476490  0.577
2015 Kim H, Kim G, Lee Y, Foo Z, Sylvester D, Blaauw D, Wentzloff D. A 10.6mm(3) Fully-Integrated, Wireless Sensor Node with 8GHz UWB Transmitter. Symposium On Vlsi Circuits : [Proceedings]. Symposium On Vlsi Circuits. 2015: C202-C203. PMID 26855848 DOI: 10.1109/VLSIC.2015.7231258  0.556
2015 Pannuto P, Lee Y, Kuo YS, Foo Z, Kempke B, Kim G, Dreslinski RG, Blaauw D, Dutta P. MBus: An Ultra-Low Power Interconnect Bus for Next Generation Nanopower Systems. Proceedings / Annual International Symposium On Computer Architecture. International Symposium On Computer Architecture. 2015: 629-641. PMID 26855555 DOI: 10.1145/2749469.2750376  0.627
2015 Teran AS, Wong J, Lim W, Kim G, Lee Y, Blaauw D, Phillips JD. AlGaAs Photovoltaics for Indoor Energy Harvesting in mm-Scale Wireless Sensor Nodes Ieee Transactions On Electron Devices. 62: 2170-2175. DOI: 10.1109/Ted.2015.2434336  0.397
2015 Lee I, Kim G, Bang S, Wolfe A, Bell R, Jeong S, Kim Y, Kagan J, Arias-Thode M, Chadwick B, Sylvester D, Blaauw D, Lee Y. System-On-Mud: Ultra-Low Power Oceanic Sensing Platform Powered by Small-Scale Benthic Microbial Fuel Cells Ieee Transactions On Circuits and Systems I: Regular Papers. 62: 1126-1135. DOI: 10.1109/Tcsi.2015.2390559  0.647
2015 Pinckney N, Blaauw D, Sylvester D. Low-Power Near-Threshold Design: Techniques to Improve Energy Ieee Solid-State Circuits Magazine. 7: 49-57. DOI: 10.1109/Mssc.2015.2418151  0.435
2015 Oh S, Lee Y, Wang J, Foo Z, Kim Y, Jung W, Li Z, Blaauw D, Sylvester D. A Dual-Slope Capacitance-to-Digital Converter Integrated in an Implantable Pressure-Sensing System Ieee Journal of Solid-State Circuits. 50: 1581-1591. DOI: 10.1109/Jssc.2015.2435736  0.654
2015 Jeong S, Lee I, Blaauw D, Sylvester D. A 5.8 nW CMOS Wake-Up Timer for Ultra-Low-Power Wireless Applications Ieee Journal of Solid-State Circuits. 50: 1754-1763. DOI: 10.1109/Jssc.2015.2413133  0.456
2015 Frustaci F, Khayatzadeh M, Blaauw D, Sylvester D, Alioto M. SRAM for Error-Tolerant Applications With Dynamic Energy-Quality Management in 28 nm CMOS Ieee Journal of Solid-State Circuits. 50: 1310-1323. DOI: 10.1109/Jssc.2015.2408332  0.374
2015 Jee DW, Sylvester D, Blaauw D, Sim JY. Digitally controlled leakage-based oscillator and fast relocking MDLL for ultra low power sensor platform Ieee Journal of Solid-State Circuits. 50: 1263-1274. DOI: 10.1109/Jssc.2015.2403369  0.433
2014 Kim G, Lee Y, Foo Z, Pannuto P, Kuo YS, Kempke B, Ghaed MH, Bang S, Lee I, Kim Y, Jeong S, Dutta P, Sylvester D, Blaauw D. A millimeter-scale wireless imaging system with continuous motion detection and energy harvesting Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. DOI: 10.1109/VLSIC.2014.6858425  0.562
2014 Lee I, Lee Y, Sylvester D, Blaauw D. Low power battery supervisory circuit with adaptive battery health monitor Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. DOI: 10.1109/VLSIC.2014.6858363  0.618
2014 Chen YP, Jeon D, Lee Y, Kim Y, Foo Z, Lee I, Langhals NB, Kruger G, Oral H, Berenfeld O, Zhang Z, Blaauw D, Sylvester D. An Injectable 64 nW ECG Mixed-Signal SoC in 65 nm for Arrhythmia Monitoring Ieee Journal of Solid-State Circuits. 50: 375-390. DOI: 10.1109/Jssc.2014.2364036  0.623
2014 Fick L, Fick D, Alioto M, Blaauw D, Sylvester D. A 346 µm 2 VCO-Based, Reference-Free, Self-Timed Sensor Interface for Cubic-Millimeter Sensor Nodes in 28 nm CMOS Ieee Journal of Solid-State Circuits. 49: 2462-2473. DOI: 10.1109/Jssc.2014.2358589  0.358
2014 Jung W, Oh S, Bang S, Lee Y, Foo Z, Kim G, Zhang Y, Sylvester D, Blaauw D. An Ultra-Low Power Fully Integrated Energy Harvester Based on Self-Oscillating Switched-Capacitor Voltage Doubler Ieee Journal of Solid-State Circuits. 49: 2800-2811. DOI: 10.1109/Jssc.2014.2346788  0.646
2014 Jeong S, Foo Z, Lee Y, Sim J, Blaauw D, Sylvester D. A Fully-Integrated 71 nW CMOS Temperature Sensor for Low Power Wireless Sensor Nodes Ieee Journal of Solid-State Circuits. 49: 1682-1693. DOI: 10.1109/Jssc.2014.2325574  0.59
2014 Jeon D, Henry MB, Kim Y, Lee I, Zhang Z, Blaauw D, Sylvester D. An energy efficient full-frame feature extraction accelerator with shift-latch FIFO in 28 nm CMOS Ieee Journal of Solid-State Circuits. 49: 1271-1284. DOI: 10.1109/Jssc.2014.2309692  0.409
2014 Park YS, Blaauw D, Sylvester D, Zhang Z. Low-Power High-Throughput LDPC Decoder Using Non-Refresh Embedded DRAM Ieee Journal of Solid-State Circuits. 49: 783-794. DOI: 10.1109/Jssc.2014.2300417  0.399
2014 Jeon D, Chen YP, Lee Y, Kim Y, Foo Z, Kruger G, Oral H, Berenfeld O, Zhang Z, Blaauw D, Sylvester D. 24.3 An implantable 64nW ECG-monitoring mixed-signal SoC for arrhythmia diagnosis Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 57: 416-417. DOI: 10.1109/ISSCC.2014.6757494  0.454
2014 Yang K, Fick D, Henry MB, Lee Y, Blaauw D, Sylvester D. 16.3 A 23Mb/s 23pJ/b fully synthesized true-random-number generator in 28nm and 65nm CMOS Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 57: 280-281. DOI: 10.1109/ISSCC.2014.6757434  0.626
2014 Giridhar B, Pinckney N, Sylvester D, Blaauw D. 13.7 A reconfigurable sense amplifier with auto-zero calibration and pre-amplification in 28nm CMOS Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 57: 242-243. DOI: 10.1109/ISSCC.2014.6757418  0.335
2014 Ha H, Sylvester D, Blaauw D, Sim JY. 12.6 A 160nW 63.9fJ/conversion-step capacitance-to-digital converter for ultra-low-power wireless sensor nodes Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 57: 220-221. DOI: 10.1109/ISSCC.2014.6757408  0.328
2014 Kim G, Wolfe A, Bell R, Bang S, Lee Y, Lee I, Kim Y, Hsu L, Kagan J, Arias-Thode M, Chadwick B, Sylvester D, Blaauw D. Chip-on-mud: Ultra-low power ARM-based oceanic sensing system powered by small-scale benthic microbial fuel cells Proceedings - Ieee International Symposium On Circuits and Systems. 1985-1988. DOI: 10.1109/ISCAS.2014.6865552  0.582
2014 Teran A, Dejarld M, Hwang J, Lim W, Wong J, Blaauw D, Lee Y, Millunchick J, Phillips J. Indoor photovoltaic energy harvesting for mm-scale systems Device Research Conference - Conference Digest, Drc. 251-252. DOI: 10.1109/DRC.2014.6872392  0.52
2014 Lee I, Kim Y, Bang S, Kim G, Ha H, Chen YP, Jeon D, Jeong S, Jung W, Ghaed MH, Foo Z, Lee Y, Sim JY, Sylvester D, Blaauw D. Circuit techniques for miniaturized biomedical sensors Proceedings of the Ieee 2014 Custom Integrated Circuits Conference, Cicc 2014. DOI: 10.1109/CICC.2014.6946070  0.582
2013 Lee Y, Yoon D, Kim Y, Blaauw D, Sylvester D. Circuit and system design guidelines for ultra-low power sensor nodes Ipsj Transactions On System Lsi Design Methodology. 6: 17-26. DOI: 10.2197/Ipsjtsldm.6.17  0.596
2013 Dreslinski RG, Fick D, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Sylvester D, Blaauw D, Mudge T. Centip3De: A many-core prototype exploring 3d integration and near-threshold computing Communications of the Acm. 56: 97-104. DOI: 10.1145/2524713.2524725  0.782
2013 Lee Y, Kim D, Cai J, Lauer I, Chang L, Koester SJ, Blaauw D, Sylvester D. Low-Power Circuit Analysis and Design Based on Heterojunction Tunneling Transistors (HETTs) Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 21: 1632-1643. DOI: 10.1109/Tvlsi.2012.2213103  0.686
2013 Lee Y, Seok M, Hanson S, Sylvester D, Blaauw D. Achieving ultralow standby power with an efficient SCCMOS bias generator Ieee Transactions On Circuits and Systems Ii: Express Briefs. 60: 842-846. DOI: 10.1109/Tcsii.2013.2281919  0.663
2013 Ghaed MH, Chen G, Haque RU, Wieckowski M, Kim Y, Kim G, Lee Y, Lee I, Fick D, Kim D, Seok M, Wise KD, Blaauw D, Sylvester D. Circuits for a cubic-millimeter energy-autonomous wireless intraocular pressure monitor Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 3152-3162. DOI: 10.1109/Tcsi.2013.2265973  0.678
2013 Foo Z, Devescery D, Ghaed MH, Member S, Lee I, Madhavan A, Park YS, Rao AS, Renner Z, Roberts NE, Schulman AD, Vinay VS, Wieckowski M, Yoon D, Schmidt C, ... ... Blaauw D, et al. A low-cost audio computer for information dissemination among illiterate people groups Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 2039-2050. DOI: 10.1109/Tcsi.2013.2264693  0.39
2013 Zhuo C, Sylvester D, Blaauw D. A statistical framework for post-fabrication oxide breakdown reliability prediction and management Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 32: 630-643. DOI: 10.1109/Tcad.2012.2228303  0.604
2013 Pinckney N, Dreslinski RG, Sewell K, Fick D, Mudge T, Sylvester D, Blaauw D. Limits of parallelism and boosting in dim silicon Ieee Micro. 33: 30-37. DOI: 10.1109/Mm.2013.73  0.373
2013 Dreslinski RG, Fick D, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Sylvester D, Blaauw D, Mudge T. Centip3De: A 64-Core, 3D stacked near-threshold system Ieee Micro. 33: 8-16. DOI: 10.1109/Mm.2013.4  0.769
2013 Lee Y, Giridhar B, Foo Z, Sylvester D, Blaauw DB. A Sub-nW Multi-stage Temperature Compensated Timer for Ultra-Low-Power Sensor Nodes Ieee Journal of Solid-State Circuits. 48: 2511-2521. DOI: 10.1109/Jssc.2013.2275660  0.613
2013 Fojtik M, Kim D, Chen G, Lin YS, Fick D, Park J, Seok M, Chen MT, Foo Z, Blaauw D, Sylvester D. A millimeter-scale energy-autonomous sensor system with stacked battery and solar cells Ieee Journal of Solid-State Circuits. 48: 801-813. DOI: 10.1109/Jssc.2012.2233352  0.416
2013 Fick D, Dreslinski RG, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Mudge T, Blaauw D, Sylvester D. Centip3De: A cluster-based NTC architecture with 64 ARM cortex-M3 cores in 3D stacked 130 nm CMOS Ieee Journal of Solid-State Circuits. 48: 104-117. DOI: 10.1109/Jssc.2012.2222814  0.771
2013 Lee Y, Bang S, Lee I, Kim Y, Kim G, Ghaed MH, Pannuto P, Dutta P, Sylvester D, Blaauw D. A modular 1 3 die-stacked sensing platform with low power I 2C inter-die communication and multi-modal energy harvesting Ieee Journal of Solid-State Circuits. 48: 229-243. DOI: 10.1109/Jssc.2012.2221233  0.654
2013 Fojtik M, Fick D, Kim Y, Pinckney N, Harris DM, Blaauw D, Sylvester D. Bubble Razor: Eliminating Timing Margins in an ARM Cortex-M3 Processor in 45 nm CMOS Using Architecturally Independent Error Detection and Correction Ieee Journal of Solid-State Circuits. 48: 66-81. DOI: 10.1109/Jssc.2012.2220912  0.398
2012 Seo S, Dreslinski RG, Woh M, Park Y, Charkrabari C, Mahlke S, Blaauw D, Mudge T. Process variation in near-threshold wide SIMD architectures Proceedings - Design Automation Conference. 980-987. DOI: 10.1145/2228360.2228536  0.333
2012 Singh P, Karl E, Blaauw D, Sylvester D. Compact Degradation Sensors for Monitoring NBTI and Oxide Degradation Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 20: 1645-1655. DOI: 10.1109/Tvlsi.2011.2161784  0.499
2012 Seok M, Hanson S, Blaauw D, Sylvester D. Sleep mode analysis and optimization with minimal-sized power gating switch for ultra-low V dd operation Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 20: 605-615. DOI: 10.1109/Tvlsi.2011.2109069  0.318
2012 Jeon D, Seok M, Zhang Z, Blaauw D, Sylvester D. Design methodology for voltage-overscaled ultra-low-power systems Ieee Transactions On Circuits and Systems Ii: Express Briefs. 59: 952-956. DOI: 10.1109/Tcsii.2012.2231036  0.423
2012 DeOrio A, Fick D, Bertacco V, Sylvester D, Blaauw D, Hu J, Chen G. A Reliable Routing Architecture and Algorithm for NoCs Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 31: 726-739. DOI: 10.1109/Tcad.2011.2181509  0.327
2012 Seok M, Kim G, Blaauw D, Sylvester D. A portable 2-transistor picowatt temperature-compensated voltage reference operating at 0.5 v Ieee Journal of Solid-State Circuits. 47: 2534-2545. DOI: 10.1109/Jssc.2012.2206683  0.448
2012 Jeon D, Seok M, Chakrabarti C, Blaauw D, Sylvester D. A super-pipelined energy efficient subthreshold 240 MS/s FFT core in 65 nm CMOS Ieee Journal of Solid-State Circuits. 47: 23-34. DOI: 10.1109/Jssc.2011.2169311  0.388
2012 Sewell K, Dreslinski RG, Manville T, Satpathy S, Pinckney N, Blake G, Cieslak M, Das R, Wenisch TF, Sylvester D, Blaauw D, Mudge T. Swizzle-switch networks for many-core systems Ieee Journal On Emerging and Selected Topics in Circuits and Systems. 2: 278-294. DOI: 10.1109/Jetcas.2012.2193936  0.672
2012 Fick D, Dreslinski RG, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Mudge T, Sylvester D, Blaauw D. Centip3De: A 3930DMIPS/W configurable near-threshold 3D stacked system with 64 ARM Cortex-M3 cores Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 55: 190-191. DOI: 10.1109/ISSCC.2012.6176970  0.486
2012 Seok M, Jeon D, Chakrabati C, Blaauw D, Sylvester D. Extending energy-saving voltage scaling in ultra low voltage integrated circuit designs Icicdt 2012 - Ieee International Conference On Integrated Circuit Design and Technology. DOI: 10.1109/ICICDT.2012.6232880  0.368
2012 Kim Y, Lee Y, Sylvester D, Blaauw D. SLC: Split-control Level Converter for dense and stable wide-range voltage conversion European Solid-State Circuits Conference. 478-481. DOI: 10.1109/ESSCIRC.2012.6341359  0.64
2012 Lee Y, Sylvester D, Blaauw D. Circuits for ultra-low power millimeter-scale sensor nodes Conference Record - Asilomar Conference On Signals, Systems and Computers. 752-756. DOI: 10.1109/ACSSC.2012.6489113  0.618
2011 Seo JS, Kaul H, Krishnamurthy R, Sylvester D, Blaauw D. A robust edge encoding technique for energy-efficient multi-cycle interconnect Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 19: 264-273. DOI: 10.1109/Tvlsi.2009.2032422  0.413
2011 Singh P, Karl E, Sylvester D, Blaauw D. Dynamic NBTI management using a 45 nm multi-degradation sensor Ieee Transactions On Circuits and Systems I: Regular Papers. 58: 2026-2037. DOI: 10.1109/Tcsi.2011.2163894  0.313
2011 Zhuo C, Chopra K, Sylvester D, Blaauw D. Process Variation and Temperature-Aware Full Chip Oxide Breakdown Reliability Analysis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 30: 1321-1334. DOI: 10.1109/Tcad.2011.2142183  0.591
2011 Veetil V, Chopra K, Blaauw D, Sylvester D. Fast Statistical Static Timing Analysis Using Smart Monte Carlo Techniques Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 30: 852-865. DOI: 10.1109/Tcad.2011.2108030  0.352
2011 Lee Y, Sylvester D, Blaauw D. Synchronization of ultra-low power wireless sensor nodes Midwest Symposium On Circuits and Systems. DOI: 10.1109/MWSCAS.2011.6026442  0.606
2011 Seo JS, Blaauw D, Sylvester D. Crosstalk-aware PWM-based on-chip links with self-calibration in 65 nm CMOS Ieee Journal of Solid-State Circuits. 46: 2041-2052. DOI: 10.1109/Jssc.2011.2136630  0.39
2011 Bull D, Das S, Shivashankar K, Dasika GS, Flautner K, Blaauw D. Correction to “A Power-Efficient 32 bit ARM Processor Using Timing-Error Detection and Correction for Transient-Error Tolerance and Adaptation to PVT Variation” Ieee Journal of Solid-State Circuits. 46: 705-705. DOI: 10.1109/Jssc.2011.2111230  0.412
2011 Bull D, Das S, Shivashankar K, Dasika GS, Flautner K, Blaauw D. A power-efficient 32 bit ARM processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation Ieee Journal of Solid-State Circuits. 46: 18-31. DOI: 10.1109/JSSC.2010.2079410  0.306
2011 Seok M, Blaauw D, Sylvester D. Robust clock network design methodology for ultra-low voltage operations Ieee Journal On Emerging and Selected Topics in Circuits and Systems. 1: 120-130. DOI: 10.1109/Jetcas.2011.2160753  0.459
2011 Seok M, Chen G, Hanson S, Wieckowski M, Blaauw D, Sylvester D. CAS-FEST 2010: Mitigating variability in near-threshold computing Ieee Journal On Emerging and Selected Topics in Circuits and Systems. 1: 42-49. DOI: 10.1109/Jetcas.2011.2135550  0.409
2011 Seok M, Jeon D, Chakrabarti C, Blaauw D, Sylvester D. A 0.27V 30MHz 17.7nJ/transform 1024-pt complex FFT core with super-pipelining Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 342-343. DOI: 10.1109/ISSCC.2011.5746346  0.351
2011 Wieckowski M, Chen GK, Kim D, Blaauw D, Sylvester D. A 128kb high density portless SRAM using hierarchical bitlines and thyristor sense amplifiers Proceedings of the 12th International Symposium On Quality Electronic Design, Isqed 2011. 87-90. DOI: 10.1109/ISQED.2011.5770708  0.335
2011 Jeon D, Seok M, Chakrabarti C, Blaauw D, Sylvester D. Energy-optimized high performance FFT processor Icassp, Ieee International Conference On Acoustics, Speech and Signal Processing - Proceedings. 1701-1704. DOI: 10.1109/ICASSP.2011.5946828  0.336
2011 Seok M, Jeon D, Chakrabarti C, Blaauw D, Sylvester D. Pipeline strategy for improving optimal energy efficiency in ultra-low voltage design Proceedings - Design Automation Conference. 990-995.  0.342
2010 Wieckowski M, Dreslinski RG, Mudge T, Blaauw D, Sylvester D. Circuit design advances for ultra-low power sensing platforms Proceedings of Spie - the International Society For Optical Engineering. 7679. DOI: 10.1117/12.850720  0.499
2010 Chen G, Sylvester D, Blaauw D, Mudge T. Yield-driven near-threshold SRAM design Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 18: 1590-1598. DOI: 10.1109/Tvlsi.2009.2025766  0.391
2010 Joshi V, Cline B, Sylvester D, Blaauw D, Agarwal K. Mechanical stress aware optimization for leakage power reduction Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 29: 722-736. DOI: 10.1109/Tcad.2010.2042893  0.771
2010 Gandikota R, Chopra K, Blaauw D, Sylvester D. Victim alignment in crosstalk-aware timing analysis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 29: 261-274. DOI: 10.1109/Tcad.2009.2035484  0.699
2010 Hanson S, Foo Z, Blaauw D, Sylvester D. A 0.5 v sub-microwatt CMOS image sensor with pulse-width modulation read-out Ieee Journal of Solid-State Circuits. 45: 759-767. DOI: 10.1109/Jssc.2010.2040231  0.431
2010 Tokunaga C, Blaauw D. Securing encryption systems with a switched capacitor current equalizer Ieee Journal of Solid-State Circuits. 45: 23-31. DOI: 10.1109/Jssc.2009.2034081  0.795
2010 Dreslinski RG, Wieckowski M, Blaauw D, Sylvester D, Mudge T. Near-threshold computing: Reclaiming moore's law through energy efficient integrated circuits Proceedings of the Ieee. 98: 253-266. DOI: 10.1109/JPROC.2009.2034764  0.418
2010 Chen G, Fojtik M, Kim D, Fick D, Park J, Seok M, Chen MT, Foo Z, Sylvester D, Blaauw D. Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 53: 288-289. DOI: 10.1109/ISSCC.2010.5433921  0.311
2010 Seok M, Hanson S, Wieckowski M, Chen GK, Lin YS, Blaauw D, Sylvester D. Circuit design advances to enable ubiquitous sensing environments Iscas 2010 - 2010 Ieee International Symposium On Circuits and Systems: Nano-Bio Circuit Fabrics and Systems. 285-288. DOI: 10.1109/ISCAS.2010.5537867  0.373
2010 Seok M, Kim G, Blaauw D, Sylvester D. Variability analysis of a digitally trimmable ultra-low power voltage reference Esscirc 2010 - 36th European Solid State Circuits Conference. 110-113. DOI: 10.1109/ESSCIRC.2010.5619816  0.314
2010 Singh P, Karl E, Sylvester D, Blaauw D. Dynamic NBTI management using a 45nm multi-degradation sensor Proceedings of the Custom Integrated Circuits Conference. DOI: 10.1109/CICC.2010.5617412  0.307
2010 Zhuo C, Sylvester D, Blaauw D. Process variation and temperature-aware reliability management Proceedings -Design, Automation and Test in Europe, Date. 580-585.  0.546
2009 Rao RR, Joshi V, Blaauw D, Sylvester D. Circuit optimization techniques to mitigate the effects of soft errors in combinational logic Acm Transactions On Design Automation of Electronic Systems. 15. DOI: 10.1145/1640457.1640462  0.612
2009 Zhai B, Pant S, Nazhandali L, Hanson S, Olson J, Reeves A, Minuth M, Helfand R, Austin T, Sylvester D, Blaauw D. Energy-efficient subthreshold processor design Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 17: 1127-1137. DOI: 10.1109/Tvlsi.2008.2007564  0.722
2009 Blaauw D, Das S. CPU, heal thyself Ieee Spectrum. 46. DOI: 10.1109/Mspec.2009.5186555  0.39
2009 Singh P, Zhuo C, Blaauw D, Sylvester D, Karl E. Sensor-driven reliability and wearout management Ieee Design and Test of Computers. 26: 40-48. DOI: 10.1109/Mdt.2009.155  0.652
2009 Lin YS, Sylvester D, Blaauw D. Alignment-independent chip-to-chip communication for sensor applications using passive capacitive signaling Ieee Journal of Solid-State Circuits. 44: 1156-1166. DOI: 10.1109/Jssc.2009.2014024  0.376
2009 Das S, Blaauw D. Adaptive design for nanometer technology Proceedings - Ieee International Symposium On Circuits and Systems. 77-80. DOI: 10.1109/ISCAS.2009.5117689  0.339
2009 Gandikota R, Blaauw D, Sylvester D. Interconnect performance corners considering crosstalk noise Proceedings - Ieee International Conference On Computer Design: Vlsi in Computers and Processors. 231-237. DOI: 10.1109/ICCD.2009.5413148  0.699
2009 Seok M, Kim G, Sylvester D, Blaauw D. A 0.5V 2.2pW 2-transistor voltage reference Proceedings of the Custom Integrated Circuits Conference. 577-580. DOI: 10.1109/CICC.2009.5280773  0.324
2009 Dreslinski RG, Fick D, Blaauw D, Sylvester D, Mudge T. Reconfigurable multicore server processors for low power operation Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 5657: 247-254. DOI: 10.1007/978-3-642-03138-0_27  0.324
2009 Gandikota R, Ding L, Tehrani P, Blaauw D. Worst-case aggressor-victim alignment with current-source driver models Proceedings - Design Automation Conference. 13-18.  0.683
2009 Zhuo C, Blaauw D, Sylvester D. Post-fabrication measurement-driven oxide breakdown reliability prediction and management Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 441-448.  0.548
2008 Zhuo C, Blaauw D, Sylvester D. Variation-aware gate sizing and clustering for post-silicon optimized circuits Proceedings of the International Symposium On Low Power Electronics and Design. 105-110. DOI: 10.1145/1393921.1393949  0.57
2008 Seok M, Hanson S, Lin YS, Foo Z, Kim D, Lee Y, Liu N, Sylvester D, Blaauw D. The phoenix processor: A 30pW platform for sensor applications Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 178-179. DOI: 10.1109/VLSIC.2008.4586001  0.553
2008 Singh P, Seo JS, Blaauw D, Sylvester D. Self-timed regenerators for high-speed and low-power on-chip global interconnect Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 16: 673-677. DOI: 10.1109/Tvlsi.2008.2000250  0.587
2008 Karl E, Blaauw D, Sylvester D, Mudge T. Multi-mechanism reliability modeling and management in dynamic systems Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 16: 476-487. DOI: 10.1109/Tvlsi.2007.915477  0.404
2008 Hanson S, Seok M, Sylvester D, Blaauw D. Nanometer device scaling in subthreshold logic and SRAM Ieee Transactions On Electron Devices. 55: 175-185. DOI: 10.1109/Ted.2007.911033  0.401
2008 Kulkarni SH, Sylvester DM, Blaauw DT. Design-time optimization of post-silicon tuned circuits using adaptive body bias Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 27: 481-493. DOI: 10.1109/TCAD.2008.915529  0.35
2008 Srivastava A, Chopra K, Shah S, Sylvester D, Blaauw D. A Novel Approach to Perform Gate-Level Yield Analysis and Optimization Considering Correlated Variations in Power and Performance Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 27: 272-285. DOI: 10.1109/Tcad.2007.907227  0.662
2008 Blaauw D, Chopra K, Srivastava A, Scheffer L. Statistical timing analysis: From basic principles to state of the art Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 27: 589-607. DOI: 10.1109/Tcad.2007.907047  0.61
2008 Dreslinski RG, Chen GK, Mudge T, Blaauw D, Sylvester D, Flautner K. Reconfigurable energy efficient near threshold cache architectures Proceedings of the Annual International Symposium On Microarchitecture, Micro. 459-470. DOI: 10.1109/MICRO.2008.4771813  0.34
2008 Hanson S, Zhai B, Seok M, Cline B, Zhou K, Singhal M, Minuth M, Olson J, Nazhandali L, Austin T, Sylvester D, Blaauw D. Exploring variability and performance in a sub-200-mV processor Ieee Journal of Solid-State Circuits. 43: 881-890. DOI: 10.1109/Jssc.2008.917505  0.804
2008 Zhai B, Hanson S, Blaauw D, Sylvester D. A Variation-Tolerant Sub-200 mV 6-T Subthreshold SRAM Ieee Journal of Solid-State Circuits. 43: 2338-2348. DOI: 10.1109/Jssc.2008.2001903  0.535
2008 Pant S, Blaauw D. A charge-injection-based active-decoupling technique for inductive-supply-noise suppression Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 51. DOI: 10.1109/ISSCC.2008.4523234  0.572
2008 Sylvester D, Hanson S, Seok M, Yu-Shiang L, Blaauw D. Designing robust ultra-low power circuits Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2008.4796713  0.339
2008 Lee Y, Seok M, Hanson S, Blaauw D, Sylvester D. Standby power reduction techniques for ultra-low power processors Esscirc 2008 - Proceedings of the 34th European Solid-State Circuits Conference. 186-189. DOI: 10.1109/ESSCIRC.2008.4681823  0.621
2008 Pant S, Blaauw D. Circuit techniques for suppression and measurement of on-chip inductive supply noise Esscirc 2008 - Proceedings of the 34th European Solid-State Circuits Conference. 134-137. DOI: 10.1109/ESSCIRC.2008.4681810  0.607
2008 Gandikota R, Blaauw D, Sylvester D. Modeling crosstalk in statistical static timing analysis Proceedings - Design Automation Conference. 974-979. DOI: 10.1109/DAC.2008.4555961  0.664
2008 Seok M, Hanson S, Seo JS, Sylvester D, Blaauw D. Robust ultra-low voltage ROM design Proceedings of the Custom Integrated Circuits Conference. 423-426. DOI: 10.1109/CICC.2008.4672110  0.401
2008 Blaauw D, Kitchener J, Phillips B. Optimizing addition for sub-threshold logic Conference Record - Asilomar Conference On Signals, Systems and Computers. 751-756. DOI: 10.1109/ACSSC.2008.5074509  0.33
2008 Albano F, Lin YS, Blaauw D, Sylvester DM, Wise KD, Sastry AM. A fully integrated microbattery for an implantable microelectromechanical system Journal of Power Sources. 185: 1524-1532. DOI: 10.1016/J.Jpowsour.2008.08.061  0.371
2007 Zhai B, Dreslinski RG, Blaauw D, Mudge T, Sylvester D. Energy efficient near-threshold chip multi-processing Proceedings of the International Symposium On Low Power Electronics and Design. 32-37. DOI: 10.1145/1283780.1283789  0.36
2007 Rao RR, Chopra K, Blaauw DT, Sylvester DM. Computing the soft error rate of a combinational logic circuit using parameterized descriptors Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 468-478. DOI: 10.1109/Tcad.2007.891036  0.613
2007 Pant S, Chiprout E, Blaauw D. Power grid physics and implications for CAD Ieee Design and Test of Computers. 24: 246-254. DOI: 10.1109/Mdt.2007.78  0.618
2007 Zhai B, Blaauw D, Sylvester D, Hanson S. A sub-200mV 6T SRAM in 0.13μm CMOS Digest of Technical Papers - Ieee International Solid-State Circuits Conference. DOI: 10.1109/ISSCC.2007.373429  0.538
2007 Nanua M, Blaauw D. Investigating crosstalk in sub-threshold circuits Proceedings - Eighth International Symposium On Quality Electronic Design, Isqed 2007. 639-644. DOI: 10.1109/ISQED.2007.95  0.32
2007 Gandikota R, Chopra K, Blaauw D, Sylvester D, Becer M, Geada J. Victim alignment in crosstalk aware timing analysis Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 698-704. DOI: 10.1109/ICCAD.2007.4397347  0.635
2007 Joshi V, Blaauw D, Sylvester D. Soft-edge flip-flops for improved timing yield: Design and optimization Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 667-673. DOI: 10.1109/ICCAD.2007.4397342  0.331
2007 Lin YS, Sylvester D, Blaauw D. A sub-pW timer using gate leakage for ultra low-power sub-Hz monitoring systems Proceedings of the Ieee 2007 Custom Integrated Circuits Conference, Cicc 2007. 397-400. DOI: 10.1109/CICC.2007.4405761  0.36
2007 Pant S, Blaauw D. Timing-aware decoupling capacitance allocation in power distribution networks Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 757-762. DOI: 10.1109/ASPDAC.2007.358080  0.63
2007 Kulkarni S, Srivastava A, Sylvester D, Blaauw D. Power optimization using multiple supply voltages Closing the Power Gap Between Asic and Custom: Tools and Techniques For Low Power Design. 189-217. DOI: 10.1007/978-0-387-68953-1_8  0.374
2006 Hanson S, Zhai B, Bernstein K, Blaauw D, Bryant A, Chang L, Das KK, Haensch W, Nowak EJ, Sylvester DM. Ultralow-voltage minimum-energy CMOS Ibm Journal of Research and Development. 50: 469-490. DOI: 10.1147/Rd.504.0469  0.602
2006 Hanson S, Sylvester D, Blaauw D. A new technique for jointly optimizing gate sizing and supply voltage in ultra-low energy circuits Proceedings of the International Symposium On Low Power Electronics and Design. 2006: 338-341. DOI: 10.1145/1165573.1165653  0.324
2006 Lee D, Blaauw D, Sylvester D. Runtime leakage minimization through probability-aware optimization Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 14: 1075-1088. DOI: 10.1109/Tvlsi.2006.884149  0.565
2006 Rao R, Devgan A, Blaauw D, Sylvester D. Analytical yield prediction considering leakage/performance correlation Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 1685-1695. DOI: 10.1109/Tcad.2005.858351  0.597
2006 Agarwal K, Sylvester D, Blaauw D. Modeling and analysis of crosstalk noise in coupled RLC interconnects Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 892-901. DOI: 10.1109/Tcad.2005.855961  0.32
2006 Agarwal K, Agarwal M, Sylvester D, Blaauw D. Statistical interconnect metrics for physical-design optimization Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 1273-1288. DOI: 10.1109/Tcad.2005.855954  0.35
2006 Sylvester D, Blaauw D, Karl E. ElastIC: An adaptive self-healing architecture for unpredictable silicon Ieee Design and Test of Computers. 23: 484-490. DOI: 10.1109/Mdt.2006.145  0.344
2006 Das S, Roberts D, Lee S, Pant S, Blaauw D, Austin T, Flautner K, Mudge T. A self-tuning DVS processor using delay-error detection and correction Ieee Journal of Solid-State Circuits. 41: 792-804. DOI: 10.1109/Jssc.2006.870912  0.656
2006 Pant S, Blaauw D. An active decoupling capacitance circuit for inductive noise suppression in power supply networks Ieee International Conference On Computer Design, Iccd 2006. 168-173. DOI: 10.1109/ICCD.2006.4380811  0.624
2006 Chopra K, Zhai B, Blaauw D, Sylvester D. A new statistical max operation for propagating skewness in statistical timing analysis Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 237-243. DOI: 10.1109/ICCAD.2006.320142  0.436
2006 Kulkarni SH, Sylvester D, Blaauw D. A statistical framework for post-silicon tuning through body bias clustering Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 39-46. DOI: 10.1109/ICCAD.2006.320103  0.33
2006 Blaauw D, Zhai B. Energy efficient design for subthreshold supply voltage operation Proceedings - Ieee International Symposium On Circuits and Systems. 29-32.  0.51
2005 Rao RR, Deogun HS, Blaauw D, Sylvester D. Bus encoding for total power reduction using a leakage-aware buffer configuration Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 13: 1376-1382. DOI: 10.1109/Tvlsi.2005.862718  0.643
2005 Zhai B, Blaauw D, Sylvester D, Flautner K. The limit of dynamic voltage scaling and insomniac dynamic voltage scaling Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 13: 1239-1252. DOI: 10.1109/Tvlsi.2005.859588  0.556
2005 Kim NS, Blaauw D, Mudge T. Quantitative analysis and optimization techniques for on-chip cache leakage power Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 13: 1147-1156. DOI: 10.1109/Tvlsi.2005.859476  0.381
2005 Bhardwaj S, Vrudhula S, Blaauw D. Probability distribution of signal arrival times using Bayesian networks Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 24: 1784-1794. DOI: 10.1109/Tcad.2005.852436  0.342
2005 Lee D, Blaauw D, Sylvester D. Static leakage reduction through simultaneous vt/TOX and state assignment Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 24: 1014-1029. DOI: 10.1109/Tcad.2005.847906  0.539
2005 Rao RR, Blaauw D, Sylvester D, Devgan A. Modeling and analysis of parametric yield under power and performance constraints Ieee Design and Test of Computers. 22: 376-385. DOI: 10.1109/Mdt.2005.89  0.61
2005 Karl E, Sylvester D, Blaauw D. Timing error correction techniques for voltage- scalable on-chip memories Proceedings - Ieee International Symposium On Circuits and Systems. 3563-3566. DOI: 10.1109/ISCAS.2005.1465399  0.324
2005 Nazhandali L, Zhai B, Olson J, Reeves A, Minuth M, Helfand R, Pant S, Austin T, Blaauw D. Energy optimization of subthreshold-voltage sensor network processors Proceedings - International Symposium On Computer Architecture. 197-207. DOI: 10.1109/ISCA.2005.26  0.309
2005 Pant S, Blaauw D. Static timing analysis considering power supply variations Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 2005: 365-371. DOI: 10.1109/ICCAD.2005.1560095  0.622
2005 Kaul H, Sylvester D, Blaauw D, Mudge T, Austin T. DVS for on-chip bus designs based on timing error correction Proceedings -Design, Automation and Test in Europe, Date '05. 80-85. DOI: 10.1109/DATE.2005.125  0.327
2005 Blaauw D, Chopra K. CAD tools for variation tolerance Proceedings - Design Automation Conference. 766.  0.642
2005 Agarwal K, Sylvester D, Blaauw D, Devgan A. Achieving continuous V T performance in a dual V T process Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 1: 393-398.  0.303
2004 Kim NS, Flautner K, Blaauw D, Mudge T. Circuit and Microarchitectural Techniques for Reducing Cache Leakage Power Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 12: 167-184. DOI: 10.1109/Tvlsl.2003.821550  0.427
2004 Lee D, Blaauw D, Sylvester D. Gate Oxide Leakage Current Analysis and Reduction for VLSI Circuits Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 12: 155-166. DOI: 10.1109/Tvlsi.2003.821553  0.608
2004 Rao R, Srivastava A, Blaauw D, Sylvester D. Statistical Analysis of Subthreshold Leakage Current for VLSI Circuits Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 12: 131-139. DOI: 10.1109/Tvlsi.2003.821549  0.591
2004 Agarwal K, Sylvester D, Blaauw D. A simple metric for slew rate of RC circuits based on two circuit moments Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 23: 1346-1354. DOI: 10.1109/Tcad.2004.833607  0.372
2004 Agarwal K, Sylvester D, Blaauw D. A Library Compatible Driver Output Model for On-Chip RLC Transmission Lines Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 23: 128-136. DOI: 10.1109/Tcad.2003.819889  0.39
2004 Ernst D, Das S, Lee S, Blaauw D, Austin T, Mudge T, Kim NS, Flautner K. Razor: Circuit-level correction of timing errors for low-power operation Ieee Micro. 24: 10-20. DOI: 10.1109/Mm.2004.85  0.523
2004 Austin T, Blaauw D, Mudge T, Flautner K. Making typical silicon matter with razor Computer. 37: 57-65. DOI: 10.1109/Mc.2004.1274005  0.502
2004 Lee WH, Pant S, Blaauw D. Analysis and reduction of on-chip inductance effects in power supply grids Proceedings - 5th International Symposium On Quality Electronic Design, Isqued 2004. 131-136.  0.362
2003 Blaauw D, Sirichotiyakul S, Oh C. Driver modeling and alignment for worst-case delay noise Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 11: 157-166. DOI: 10.1109/Tvlsi.2002.808448  0.357
2003 Becer MR, Blaauw D, Algor I, Panda R, Oh C, Zolotov V, Hajj IN. Post-route gate sizing for crosstalk noise reduction Proceedings - Design Automation Conference. 954-957. DOI: 10.1109/Tcad.2004.836736  0.349
2003 Ding L, Blaauw D, Mazumder P. Accurate crosstalk noise modeling for early signal integrity analysis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 22: 627-634. DOI: 10.1109/Tcad.2003.810741  0.388
2003 Ernst D, Kim NS, Das S, Pant S, Rao R, Pham T, Ziesler C, Blaauw D, Austin T, Flautner K, Mudge T. Razor: A low-power pipeline based on circuit-level timing speculation Proceedings of the Annual International Symposium On Microarchitecture, Micro. 2003: 7-18. DOI: 10.1109/MICRO.2003.1253179  0.41
2003 Panda R, Sundareswaran S, Blaauw D. Impact of low-impedance substrate on power supply integrity Ieee Design and Test of Computers. 20: 16-22. DOI: 10.1109/Mdt.2003.1198681  0.413
2003 Nanua M, Blaauw D. Noise analysis methodology for partially depleted SOI circuits Proceedings of the Custom Integrated Circuits Conference. 719-722. DOI: 10.1109/Jssc.2004.831434  0.326
2003 Zolotov V, Blaauw D, Panda R, Oh C. Cross-coupled noise propagation in VLSI designs Analog Integrated Circuits and Signal Processing. 35: 133-142. DOI: 10.1023/A:1024174415034  0.341
2003 Lee D, Kwong W, Blaauw D, Sylvester D. Analysis and minimization techniques for total leakage considering gate oxide leakage Proceedings - Design Automation Conference. 175-180.  0.362
2002 Martin SM, Flautner K, Mudge T, Blaauw D. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers. 721-725. DOI: 10.1145/774572.774678  0.353
2002 Blaauw D, Martin S, Mudge T, Flautner K. Leakage current reduction in VLSI systems Journal of Circuits, Systems and Computers. 11: 621-635. DOI: 10.1142/S0218126602000665  0.371
2002 Gala K, Blaauw D, Zolotov V, Vaidya P, Joshi A. Inductance model and analysis methodology for high-speed on-chip interconnect Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 10: 730-745. DOI: 10.1109/Tvlsi.2002.801619  0.404
2002 Becer MR, Blaauw D, Hajj IN. Early Probabilistic Noise Estimation for Capacitively Coupled Interconnects International Workshop On System Level Interconnect Prediction. 77-83. DOI: 10.1109/Tcad.2002.807892  0.348
2002 Blaauw D, Zolotov V, Sundareswaran S. Slope propagation in static timing analysis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 21: 1180-1195. DOI: 10.1109/Tcad.2002.802274  0.363
2002 Kim NS, Flautner K, Blaauw D, Mudge T. Drowsy instruction caches. Leakage power reduction using dynamic voltage scaling and cache sub-bank prediction Proceedings of the Annual International Symposium On Microarchitecture, Micro. 2002: 219-230. DOI: 10.1109/MICRO.2002.1176252  0.366
2002 Sirichotiyakul S, Edwards T, Oh C, Panda R, Blaauw D. Duet: an accurate leakage estimation and optimization tool for dual-V/sub t/ circuits Ieee Transactions On Very Large Scale Integration Systems. 10: 79-90. DOI: 10.1109/92.994980  0.405
2002 Zhao M, Panda RV, Sapatnekar SS, Blaauw D. Hierarchical analysis of power distribution networks Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 21: 159-168. DOI: 10.1109/43.980256  0.422
2001 Blaauw D, Gabara T. Guest editorial: Low power electronics and design Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 9: 1-2. DOI: 10.1109/Tvlsi.2001.920812  0.344
2001 Panda R, Sundareswaran S, Blaauw D. On the interaction of power distribution network with substrate Proceedings of the International Symposium On Low Power Electronics and Design, Digest of Technical Papers. 388-393.  0.334
Show low-probability matches.