Eric A. Joseph, Ph.D. - Publications

Affiliations: 
2005 University of Texas at Dallas, Richardson, TX, United States 
Area:
Electronics and Electrical Engineering, Fluid and Plasma Physics

20 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Lin K, Li C, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Selective atomic layer etching of HfO2 over silicon by precursor and substrate-dependent selective deposition Journal of Vacuum Science & Technology A. 38: 032601. DOI: 10.1116/1.5143247  0.341
2018 Lin K, Li C, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Achieving ultrahigh etching selectivity of SiO2 over Si3N4 and Si in atomic layer etching by exploiting chemistry of complex hydrofluorocarbon precursors Journal of Vacuum Science & Technology A. 36: 040601. DOI: 10.1116/1.5035291  0.319
2018 Miyazoe H, Marchack N, Bruce RL, Zhu Y, Nakamura M, Miller E, Kanakasabapathy S, Suzuki T, Ito A, Matsumoto H, Engelmann SU, Joseph EA. Nitride etching with hydrofluorocarbons III: Comparison of C4H9F and CH3F for low-k′ nitride spacer etch processes Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 36: 032201. DOI: 10.1116/1.5019016  0.443
2017 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma. The Journal of Chemical Physics. 146: 052801. PMID 28178847 DOI: 10.1063/1.4961458  0.453
2017 Engelmann SU, Bruce RL, Joseph EA, Fuller NCM, Graham WS, Sikorski EM, Kohjasteh M, Zhu Y, Nakamura M, Ito A, Matsumoto H, Matsuura G, Suzuki T. Nitride etching with hydrofluorocarbons. I. Selective etching of nitride over silicon and oxide materials by gas discharge optimization and selective deposition of fluorocarbon polymer Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 35: 051803. DOI: 10.1116/1.5003824  0.34
2017 Miyazoe H, Jagtiani AV, Tsai H, Engelmann SU, Joseph EA. Highly selective dry etching of polystyrene-poly(methyl methacrylate) block copolymer by gas pulsing carbon monoxide-based plasmas Journal of Physics D: Applied Physics. 50: 204001. DOI: 10.1088/1361-6463/Aa68C6  0.367
2017 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma Journal of Chemical Physics. 146. DOI: 10.1063/1.4961458  0.453
2017 Walton S, Boris D, Hernández S, Lock E, Petrova TB, Petrov G, Jagtiani A, Engelmann S, Miyazoe H, Joseph E. Electron beam generated plasmas: Characteristics and etching of silicon nitride Microelectronic Engineering. 168: 89-96. DOI: 10.1016/J.Mee.2016.11.003  0.384
2016 Jagtiani AV, Miyazoe H, Chang J, Farmer DB, Engel M, Neumayer D, Han SJ, Engelmann SU, Boris DR, Hernández SC, Lock EH, Walton SG, Joseph EA. Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low Te plasma sources Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4936622  0.316
2016 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Fluorocarbon assisted atomic layer etching of SiO2 and Si using cyclic Ar/C4F8 and Ar/CHF3 plasma Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4935462  0.404
2008 Joseph EA, Zhou BS, Sant SP, Overzet LJ, Goeckner MJ. Role of chamber dimension in fluorocarbon based deposition and etching of Si O2 and its effects on gas and surface-phase chemistry Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 26: 545-554. DOI: 10.1116/1.2909963  0.732
2008 Goeckner MJ, Nelson CT, Sant SP, Jindal AK, Joseph EA, Zhou BS, Padron-Wells G, Jarvis B, Pierce R, Overzet LJ. Plasma-surface interactions Journal of Physics: Conference Series. 133. DOI: 10.1088/1742-6596/133/1/012010  0.711
2007 Joseph EA, Sant SP, Goeckner MJ, Overzet LJ, Peng HG, Gidley DW, Kastenmeier BEE. Effects of pore morphology on the diffusive properties of a porous low- κ dielectric Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 25: 1684-1693. DOI: 10.1116/1.2778694  0.681
2006 Zhou B, Joseph EA, Overzet LJ, Goeckner MJ. Spectroscopic study of gas and surface phase chemistries of CF 4 plasmas in an inductively coupled modified gaseous electronics conference reactor Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 24: 114-125. DOI: 10.1116/1.2138718  0.688
2005 Zhou B, Joseph EA, Sant SP, Liu Y, Radhakrishnan A, Overzet LJ, Goeckner MJ. Effect of surface temperature on plasma-surface interactions in an inductively coupled modified gaseous electronics conference reactor Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 23: 1657-1667. DOI: 10.1116/1.2049309  0.686
2004 Joseph EA, Zhou B, Sant SP, Overzet LJ, Goeckner MJ. Investigation and modeling of plasma-wall interactions in inductively coupled fluorocarbon plasmas Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 22: 689-697. DOI: 10.1116/1.1722614  0.697
2004 Standaert TEFM, Hedlund C, Joseph EA, Oehrlein GS, Dalton TJ. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 22: 53-60. DOI: 10.1116/1.1626642  0.307
2004 Goeckner MJ, Marquis JM, Markham BJ, Jindal AK, Joseph EA, Zhou BS. Modified gaseous electronics conference reference cell for the study of plasma-surface-gas interactions Review of Scientific Instruments. 75: 884-890. DOI: 10.1063/1.1688443  0.431
2003 Joseph EA, Goeckner MJ, Overzet LJ, Gidley DW, Kastenmeier BEE. Correlation of pore structure to integration issues for LOW-κ interconnects Advanced Metallization Conference (Amc). 537-541.  0.63
2000 Standaert TEFM, Joseph EA, Oehrlein GS, Jain A, Gill WN, Wayner PC, Plawsky JL. Etching of xerogel in high-density fluorocarbon plasmas Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 18: 2742-2748. DOI: 10.1116/1.1290376  0.439
Show low-probability matches.