Chen Li - Publications

Affiliations: 
2018 University of Maryland, College Park, College Park, MD 

19 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2024 Gunder C, Maia de Oliveira F, Wangila E, Stanchu H, Zamani-Alavijeh M, Ojo S, Acharya S, Said A, Li C, Mazur YI, Yu SQ, Salamo GJ. The growth of Ge and direct bandgap GeSn on GaAs (001) by molecular beam epitaxy. Rsc Advances. 14: 1250-1257. PMID 38174282 DOI: 10.1039/d3ra06774b  0.341
2021 Xie L, Zhu H, Zhang Y, Ai X, Li J, Wang G, Du A, Kong Z, Wang Q, Lu S, Li C, Li Y, Huang W, Radamson HH. Investigation on GeSi-Selective Atomic Layer Wet-Etching of Ge for Vertical Gate-All-Around Nanodevice. Nanomaterials (Basel, Switzerland). 11. PMID 34073548 DOI: 10.3390/nano11061408  0.401
2021 Li Y, Zhu H, Kong Z, Zhang Y, Ai X, Wang G, Wang Q, Liu Z, Lu S, Xie L, Huang W, Liu Y, Li C, Li J, Lin H, et al. The Effect of Doping on the Digital Etching of Silicon-Selective Silicon-Germanium Using Nitric Acids. Nanomaterials (Basel, Switzerland). 11. PMID 34063569 DOI: 10.3390/nano11051209  0.373
2020 Li C, Lin H, Li J, Yin X, Zhang Y, Kong Z, Wang G, Zhu H, Radamson HH. Growth and Selective Etch of Phosphorus-Doped Silicon/Silicon-Germanium Multilayers Structures for Vertical Transistors Application. Nanoscale Research Letters. 15: 225. PMID 33296038 DOI: 10.1186/s11671-020-03456-0  0.364
2020 Xie L, Zhu H, Zhang Y, Ai X, Wang G, Li J, Du A, Kong Z, Yin X, Li C, Zhao L, Li Y, Jia K, Li B, Radamson HH. Strained SiGe/Ge multilayer Stacks Epitaxially Grown on a Low-/high-Temperature Ge Buffer Layer and Selective Wet-Etching of Germanium. Nanomaterials (Basel, Switzerland). 10. PMID 32872556 DOI: 10.3390/nano10091715  0.348
2020 Li J, Li Y, Zhou N, Xiong W, Wang G, Zhang Q, Du A, Gao J, Kong Z, Lin H, Xiang J, Li C, Yin X, Wang X, Yang H, et al. Study of Silicon Nitride Inner Spacer Formation in Process of Gate-all-around Nano-Transistors. Nanomaterials (Basel, Switzerland). 10. PMID 32326106 DOI: 10.3390/nano10040793  0.447
2020 Li C, Hofmann T, Edinger K, Godyak V, Oehrlein GS. Etching of Si3N4 induced by electron beam plasma from hollow cathode plasma in a downstream reactive environment Journal of Vacuum Science & Technology B. 38: 032208. DOI: 10.1116/1.5143538  0.617
2020 Li C, Godyak V, Hofmann T, Edinger K, Oehrlein GS. Electron beam injection from a hollow cathode plasma into a downstream reactive environment: Characterization of secondary plasma production and Si3N4 and Si etching Journal of Vacuum Science & Technology A. 38: 033001. DOI: 10.1116/1.5143537  0.567
2020 Lin K, Li C, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Selective atomic layer etching of HfO2 over silicon by precursor and substrate-dependent selective deposition Journal of Vacuum Science & Technology A. 38: 032601. DOI: 10.1116/1.5143247  0.744
2018 Lin K, Li C, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Achieving ultrahigh etching selectivity of SiO2 over Si3N4 and Si in atomic layer etching by exploiting chemistry of complex hydrofluorocarbon precursors Journal of Vacuum Science & Technology A. 36: 040601. DOI: 10.1116/1.5035291  0.744
2017 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma. The Journal of Chemical Physics. 146: 052801. PMID 28178847 DOI: 10.1063/1.4961458  0.814
2017 Metzler D, Li C, Lai CS, Hudson EA, Oehrlein GS. Investigation of thin oxide layer removal from Si substrates using an SiO2atomic layer etching approach: the importance of the reactivity of the substrate Journal of Physics D: Applied Physics. 50: 254006. DOI: 10.1088/1361-6463/Aa71F1  0.747
2017 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma Journal of Chemical Physics. 146. DOI: 10.1063/1.4961458  0.84
2016 Kawakami M, Metzler D, Li C, Oehrlein GS. Effect of the chamber wall on fluorocarbon-assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma. Journal of Vacuum Science & Technology. a, Vacuum, Surfaces, and Films : An Official Journal of the American Vacuum Society. 34: 040603. PMID 27375342 DOI: 10.1116/1.4949260  0.756
2016 Li C, Metzler D, Lai CS, Hudson EA, Oehrlein GS. Fluorocarbon based atomic layer etching of Si3N4 and etching selectivity of SiO2 over Si3N4 Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 34: 041307. DOI: 10.1116/1.4954961  0.808
2016 Li C, Gupta R, Pallem V, Oehrlein GS. Impact of hydrofluorocarbon molecular structure parameters on plasma etching of ultra-low-K dielectric Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4944609  0.668
2016 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Fluorocarbon assisted atomic layer etching of SiO2 and Si using cyclic Ar/C4F8 and Ar/CHF3 plasma Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4935462  0.808
2016 Metzler D, Uppireddi K, Bruce RL, Miyazoe H, Zhu Y, Price W, Sikorski ES, Li C, Engelmann SU, Joseph EA, Oehrlein GS. Application of cyclic fluorocarbon/argon discharges to device patterning Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4935460  0.769
2015 Oehrlein GS, Metzler D, Li C. Atomic layer etching at the tipping point: An overview Ecs Journal of Solid State Science and Technology. 4: N5041-N5053. DOI: 10.1149/2.0061506Jss  0.797
Show low-probability matches.