Peter J. Matsuo - Publications

Affiliations: 
State University of New York, Albany, Albany, NY, United States 

12 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2001 Standaert TEFM, Matsuo PJ, Li X, Oehrlein GS, Lu TM, Gutmann R, Rosenmayer CT, Bartz JW, Langan JG, Entley WR. High-density plasma patterning of low dielectric constant polymers: A comparison between polytetrafluoroethylene, parylene-N, and poly(arylene ether) Journal of Vacuum Science and Technology, Part a: Vacuum, Surfaces and Films. 19: 435-446. DOI: 10.1116/1.1349201  0.503
2001 Kastenmeier BEE, Matsuo PJ, Oehrlein GS, Ellefson RE, Frees LC. Surface etching mechanism of silicon nitride in fluorine and nitric oxide containing plasmas Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 19: 25-30. DOI: 10.1116/1.1329118  0.566
1999 Oehrlein GS, Doemling MF, Kastenmeier BEE, Matsuo PJ, Rueger NR, Schaepkens M, Standaert TEFM. Surface science issues in plasma etching Ibm Journal of Research and Development. 43: 181-197. DOI: 10.1147/Rd.431.0181  0.544
1999 Kastenmeier BEE, Matsuo PJ, Oehrlein GS. Highly selective etching of silicon nitride over silicon and silicon dioxide Journal of Vacuum Science and Technology. 17: 3179-3184. DOI: 10.1116/1.582097  0.482
1999 Schaepkens M, Rueger NR, Beulens JJ, Li X, Standaert TEFM, Matsuo PJ, Oehrlein GS. Effect of capacitive coupling on inductively coupled fluorocarbon plasma processing Journal of Vacuum Science and Technology. 17: 3272-3280. DOI: 10.1116/1.582054  0.516
1999 Matsuo PJ, Kastenmeier BEE, Oehrlein GS, Langan JG. Silicon etching in NF3/O2 remote microwave plasmas Journal of Vacuum Science and Technology. 17: 2431-2437. DOI: 10.1116/1.581979  0.44
1999 Standaert TEFM, Matsuo PJ, Allen SD, Oehrlein GS, Dalton TJ. Patterning of fluorine-, hydrogen-, and carbon-containing SiO2-like low dielectric constant materials in high-density fluorocarbon plasmas: Comparison with SiO2 Journal of Vacuum Science and Technology. 17: 741-748. DOI: 10.1116/1.581643  0.496
1998 Kastenmeier BEE, Matsuo PJ, Oehrlein GS, Langan JG. Remote plasma etching of silicon nitride and silicon dioxide using NF3/O2 gas mixtures Journal of Vacuum Science and Technology. 16: 2047-2056. DOI: 10.1116/1.581309  0.502
1997 Matsuo PJ, Kastenmeier BEE, Beulens JJ, Oehrlein GS. Role of N2 addition on CF4/O2 remote plasma chemical dry etching of polycrystalline silicon Journal of Vacuum Science and Technology. 15: 1801-1813. DOI: 10.1116/1.580795  0.519
1996 Kastenmeier BEE, Matsuo PJ, Beulens JJ, Oehrlein GS. Chemical dry etching of silicon nitride and silicon dioxide using CF4/O2/N2 gas mixtures Journal of Vacuum Science and Technology. 14: 2802-2813. DOI: 10.1116/1.580203  0.576
1996 Oehrlein GS, Matsuo PJ, Doemling MF, Rueger NR, Kastenmeier BEE, Schaepkens M, Standaert T, Beulens JJ. Study of plasma-surface interactions: Chemical dry etching and high-density plasma etching Plasma Sources Science and Technology. 5: 193-199. DOI: 10.1088/0963-0252/5/2/012  0.58
1995 Beulens JJ, Kastenmeier BEE, Matsuo PJ, Oehrlein GS. Chemical downstream etching of silicon–nitride and polycrystalline silicon using CF4/O2/N2: Surface chemical effects of O2 and N2 additives Applied Physics Letters. 66: 2634-2636. DOI: 10.1063/1.113108  0.593
Show low-probability matches.