Sang-Min Park, Ph.D. - Publications

Affiliations: 
University of Wisconsin, Madison, Madison, WI 

24 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2011 Park SM, Liang X, Harteneck BD, Pick TE, Hiroshiba N, Wu Y, Helms BA, Olynick DL. Sub-10 nm nanofabrication via nanoimprint directed self-assembly of block copolymers. Acs Nano. 5: 8523-31. PMID 21995511 DOI: 10.1021/Nn201391D  0.36
2011 Voet VS, Pick TE, Park SM, Moritz M, Hammack AT, Urban JJ, Ogletree DF, Olynick DL, Helms BA. Interface segregating fluoralkyl-modified polymers for high-fidelity block copolymer nanoimprint lithography. Journal of the American Chemical Society. 133: 2812-5. PMID 21322559 DOI: 10.1021/Ja1094292  0.306
2011 Kang SJ, Bae I, Shin YJ, Park YJ, Huh J, Park SM, Kim HC, Park C. Nonvolatile polymer memory with nanoconfinement of ferroelectric crystals. Nano Letters. 11: 138-44. PMID 21114332 DOI: 10.1021/Nl103094E  0.331
2010 Ting YH, Liu CC, Park SM, Jiang H, Nealey PF, Wendt AE. Surface roughening of polystyrene and poly(methyl methacrylate) in Ar/O2 plasma etching Polymers. 2: 649-663. DOI: 10.3390/Polym2040649  0.594
2010 Chen Y, Park SM, Kim HC, McVittie JP, Ting C, Nish Y. Templated electrochemical synthesis of titania nanopillars on conductive substrates Journal of the Electrochemical Society. 157. DOI: 10.1149/1.3467849  0.386
2010 Park SM, Dong M, Rettner CT, Dandy DS, Wang Q, Kim HC. Bending of lamellar microdomains of block copolymers on nonselective surfaces Macromolecules. 43: 1665-1670. DOI: 10.1021/Ma9020196  0.479
2009 Park S, Berry BC, Dobisz E, Kim H. Observation of surface corrugation-induced alignment of lamellar microdomains in PS-b-PMMA thin films Soft Matter. 5: 957. DOI: 10.1039/B813749H  0.415
2009 Park S, Rettner CT, Pitera JW, Kim H. Directed Self-Assembly of Lamellar Microdomains of Block Copolymers Using Topographic Guiding Patterns Macromolecules. 42: 5895-5899. DOI: 10.1021/Ma9006335  0.412
2008 Park S, Park O, Cheng JY, Rettner CT, Kim H. Patterning sub-10 nm line patterns from a block copolymer hybrid. Nanotechnology. 19: 455304-455304. PMID 21832769 DOI: 10.1088/0957-4484/19/45/455304  0.44
2008 Kim H, Cheng J, Park O, Park S, Rettner C. Device-oriented directed self-assembly of Lamellar microdomains from a block copolymer containing hybrid Proceedings of Spie. 6921. DOI: 10.1117/12.772723  0.418
2008 Kim H, Cheng J, Park O, Park S, Ruiz R, Black CT, Pitera J, Rettner C, Flickner M. Rapid directed self-assembly of Lamellar microdomains from a block copolymer containing hybrid Proceedings of Spie. 6921: 692129. DOI: 10.1117/12.772684  0.413
2008 Ting YH, Park SM, Liu CC, Liu X, Himpsel FJ, Nealey PF, Wendt AE. Plasma etch removal of poly(methyl methacrylate) in block copolymer lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1684-1689. DOI: 10.1116/1.2966433  0.64
2008 Park SM, Craig GSW, La YH, Nealey PF. Morphological reconstruction and ordering in films of sphere-forming block copolymers on striped chemically Patterned surfaces Macromolecules. 41: 9124-9129. DOI: 10.1021/Ma801039V  0.592
2008 Park SM, Craig GSW, Liu CC, La YH, Ferrier NJ, Nealey PF. Characterization of cylinder-forming block copolymers directed to assemble on spotted chemical patterns Macromolecules. 41: 9118-9123. DOI: 10.1021/Ma8009917  0.686
2007 Park SM, Ravindran P, La YH, Craig GS, Ferrier NJ, Nealey PF. Combinatorial generation and replication-directed assembly of complex and varied geometries with thin films of diblock copolymers. Langmuir : the Acs Journal of Surfaces and Colloids. 23: 9037-45. PMID 17645358 DOI: 10.1021/La7010327  0.57
2007 Park JH, Khandekar A, Park S, Mawst L, Kuech T, Nealey P. Selective GaAs Quantum Dot Array Growth using Dielectric and AlGaAs Masks Pattern-Transferred from Diblock Copolymer Mrs Proceedings. 1014. DOI: 10.1557/Proc-1014-Aa07-15  0.475
2007 La YH, Insik-In, Park SM, Meagley RP, Leolukman M, Gopalan P, Nealey PF. Pixelated chemically amplified resists: Investigation of material structure on the spatial distribution of photoacids and line edge roughness Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 25: 2508-2513. DOI: 10.1116/1.2800330  0.536
2007 Park SM, Craig GSW, La YH, Solak HH, Nealey PF. Square arrays of vertical cylinders of PS-b-PMMA on chemically nanopatterned surfaces Macromolecules. 40: 5084-5094. DOI: 10.1021/Ma0702344  0.601
2007 Park SM, Stoykovich MP, Ruiz R, Zhang Y, Black CT, Nealey PF. Directed assembly of lamellae-forming block copolymers by using chemically and topographically patterned substrates Advanced Materials. 19: 607-611. DOI: 10.1002/Adma.200601421  0.692
2006 In I, La YH, Park SM, Nealey PF, Gopalan P. Side-chain-grafted random copolymer brushes as neutral surfaces for controlling the orientation of block copolymer microdomains in thin films. Langmuir : the Acs Journal of Surfaces and Colloids. 22: 7855-60. PMID 16922574 DOI: 10.1021/La060748G  0.532
2006 Daoulas KCh, Müller M, Stoykovich MP, Park SM, Papakonstantopoulos YJ, de Pablo JJ, Nealey PF, Solak HH. Fabrication of complex three-dimensional nanostructures from self-assembling block copolymer materials on two-dimensional chemically patterned templates with mismatched symmetry. Physical Review Letters. 96: 036104. PMID 16486737 DOI: 10.1103/Physrevlett.96.036104  0.713
2006 Park JH, Khandekar AA, Park SM, Mawst LJ, Kuech TF, Nealey PF. Selective MOCVD growth of single-crystal dense GaAs quantum dot array using cylinder-forming diblock copolymers Journal of Crystal Growth. 297: 283-288. DOI: 10.1016/J.Jcrysgro.2006.09.049  0.48
2006 Daoulas KC, Müller M, Stoykovich MP, Papakonstantopoulos YJ, De Pablo JJ, Nealey PF, Park SM, Solak HH. Directed assembly of copolymer materials on patterned substrates: Balance of simple symmetries in complex structures Journal of Polymer Science, Part B: Polymer Physics. 44: 2589-2604. DOI: 10.1002/Polb.20904  0.708
2005 La YH, Edwards EW, Park SM, Nealey PF. Directed assembly of cylinder-forming block copolymer films and thermochemically induced cylinder to sphere transition: a hierarchical route to linear arrays of nanodots. Nano Letters. 5: 1379-84. PMID 16178242 DOI: 10.1021/Nl0506913  0.575
Show low-probability matches.