Lei He - Publications

Affiliations: 
Electrical Engineering University of California, Los Angeles, Los Angeles, CA 
Area:
Renewable energy, electric vehicle, smart grid, modeling and simulation, VLSI circuits and systems, programmable logic and re-configurable computing

97 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Yu Y, Zhao T, Wang M, Wang K, He L. Uni-OPU : An FPGA-Based Uniform Accelerator for Convolutional and Transposed Convolutional Networks Ieee Transactions On Very Large Scale Integration Systems. 28: 1545-1556. DOI: 10.1109/Tvlsi.2020.2995741  0.3
2017 Mo L, Wu C, He L, Chen G. Layout driven FPGA packing algorithm for performance optimization Ieice Electronics Express. 14: 20170419-20170419. DOI: 10.1587/Elex.14.20170419  0.3
2016 Adamczyk L, Adkins JK, Agakishiev G, Aggarwal MM, Ahammed Z, Alekseev I, Aparin A, Arkhipkin D, Aschenauer EC, Attri A, Averichev GS, Bai X, Bairathi V, Banerjee A, Bellwied R, ... ... He L, et al. Measurement of the Transverse Single-Spin Asymmetry in p^{↑}+p→W^{±}/Z^{0} at RHIC. Physical Review Letters. 116: 132301. PMID 27081970 DOI: 10.1103/Physrevlett.116.132301  0.336
2016 Gan J, Lv L, Peng J, Li J, Xiong Z, Chen D, He L. Multi-residue method for the determination of organofluorine pesticides in fish tissue by liquid chromatography triple quadrupole tandem mass spectrometry. Food Chemistry. 207: 195-204. PMID 27080897 DOI: 10.1016/j.foodchem.2016.02.098  0.351
2016 Adamczyk L, Adkins JK, Agakishiev G, Aggarwal MM, Ahammed Z, Alekseev I, Aparin A, Arkhipkin D, Aschenauer EC, Attri A, Averichev GS, Bai X, Bairathi V, Bellwied R, Bhasin A, ... ... He L, et al. Beam Energy Dependence of the Third Harmonic of Azimuthal Correlations in Au+Au Collisions at RHIC. Physical Review Letters. 116: 112302. PMID 27035295 DOI: 10.1103/Physrevlett.116.112302  0.357
2016 Adamczyk L, Adkins JK, Agakishiev G, Aggarwal MM, Ahammed Z, Alekseev I, Aparin A, Arkhipkin D, Aschenauer EC, Averichev GS, Bairathi V, Banerjee A, Bellwied R, Bhasin A, Bhati AK, ... ... He L, et al. Centrality and Transverse Momentum Dependence of Elliptic Flow of Multistrange Hadrons and ϕ Meson in Au+Au Collisions at sqrt[s_{NN}]=200  GeV. Physical Review Letters. 116: 062301. PMID 26918982 DOI: 10.1103/Physrevlett.116.062301  0.357
2016 Xu H, He L, Liu C, Tang L, Xu Y, Xiong M, Yang M, Fan Y, Hu F, Liu X, Ding L, Gao Y, Xu C, Li G, Liu S, et al. LncRNA NONRATT021972 siRNA attenuates P2X7 receptor expression and inflammatory cytokine production induced by combined high glucose and free fatty acids in PC12 cells. Purinergic Signalling. PMID 26865268 DOI: 10.1007/s11302-016-9500-0  0.397
2016 Li T, Lai Q, Wang S, Cai J, Xiao Z, Deng D, He L, Jiao H, Ye Y, Liang L, Ding Y, Liao W. MicroRNA-224 sustains Wnt/β-catenin signaling and promotes aggressive phenotype of colorectal cancer. Journal of Experimental & Clinical Cancer Research : Cr. 35: 21. PMID 26822534 DOI: 10.1186/s13046-016-0287-1  0.366
2016 Krishnan R, Wu W, Bodapati S, He L. Accurate Multi-segment Probability Density Estimation Through Moment Matching Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 1-1. DOI: 10.1109/Tcad.2016.2562923  0.321
2016 Luo J, Feng Z, An L, Jiang L, He L. Determination of the cross section for (n,p) reaction with producing short-lived nuclei on the 1 62,163Dy isotopes at 13.5 and 14.8 MeV Radiation Physics and Chemistry. 123: 109-114. DOI: 10.1016/j.radphyschem.2016.02.027  0.326
2016 Huang FS, Zhang LS, Pan QS, He LG, Feng ZH. Investigation of tuning-fork double piezoelectric fans with elastic base Applied Thermal Engineering. 102: 760-769. DOI: 10.1016/j.applthermaleng.2016.03.172  0.412
2015 Adamczyk L, Adkins JK, Agakishiev G, Aggarwal MM, Ahammed Z, Alekseev I, Alford J, Aparin A, Arkhipkin D, Aschenauer EC, Averichev GS, Banerjee A, Bellwied R, Bhasin A, Bhati AK, ... ... He L, et al. Observation of Transverse Spin-Dependent Azimuthal Correlations of Charged Pion Pairs in p^{↑}+p at sqrt[s]=200  GeV. Physical Review Letters. 115: 242501. PMID 26705627 DOI: 10.1103/Physrevlett.115.242501  0.343
2015 Wang SY, Gao K, Deng DL, Cai JJ, Xiao ZY, He LQ, Jiao HL, Ye YP, Yang RW, Li TT, Liang L, Liao WT, Ding YQ. TLE4 promotes colorectal cancer progression through activation of JNK/c-Jun signaling pathway. Oncotarget. PMID 26701208 DOI: 10.18632/oncotarget.6694  0.389
2015 Adamczyk L, Adkins JK, Agakishiev G, Aggarwal MM, Ahammed Z, Alekseev I, Alford J, Aparin A, Arkhipkin D, Aschenauer EC, Averichev GS, Banerjee A, Bellwied R, Bhasin A, Bhati AK, ... ... He L, et al. Azimuthal Anisotropy in U+U and Au+Au Collisions at RHIC. Physical Review Letters. 115: 222301. PMID 26650297 DOI: 10.1103/Physrevlett.115.222301  0.353
2015 Adamczyk L, Adkins JK, Agakishiev G, Aggarwal MM, Ahammed Z, Alekseev I, Alford J, Aparin A, Arkhipkin D, Aschenauer EC, Averichev GS, Banerjee A, Bellwied R, Bhasin A, Bhati AK, ... ... He L, et al. Observation of Charge Asymmetry Dependence of Pion Elliptic Flow and the Possible Chiral Magnetic Wave in Heavy-Ion Collisions. Physical Review Letters. 114: 252302. PMID 26197122 DOI: 10.1103/Physrevlett.114.252302  0.349
2015 Dang L, Wang Y, Xue Y, He L, Li Y, Xiong J. Low-dose UVB irradiation prevents MMP2-induced skin hyperplasia by inhibiting inflammation and ROS. Oncology Reports. PMID 26133107 DOI: 10.3892/or.2015.4072  0.321
2015 Wu L, Liao P, He L, Feng Z, Ren W, Yin J, Duan J, Li T, Yin Y. Dietary L-arginine supplementation protects weanling pigs from deoxynivalenol-induced toxicity. Toxins. 7: 1341-54. PMID 25884909 DOI: 10.3390/toxins7041341  0.328
2015 Zhou W, He MR, Jiao HL, He LQ, Deng DL, Cai JJ, Xiao ZY, Ye YP, Ding YQ, Liao WT, Liu SD. The tumor-suppressor gene LZTS1 suppresses colorectal cancer proliferation through inhibition of the AKT-mTOR signaling pathway. Cancer Letters. 360: 68-75. PMID 25667121 DOI: 10.1016/j.canlet.2015.02.004  0.381
2015 Dang L, He L, Wang Y, Xiong J, Bai B, Li Y. Role of the complement anaphylatoxin C5a-receptor pathway in atopic dermatitis in mice. Molecular Medicine Reports. 11: 4183-9. PMID 25650554 DOI: 10.3892/mmr.2015.3301  0.338
2015 Feng Z, Sun Q, He L, Wu Y, Xie H, Zhao G, Xu J, Yao C, Li H. Optimal dosage of botulinum toxin type A for treatment of glabellar frown lines: efficacy and safety in a clinical trial. Dermatologic Surgery : Official Publication For American Society For Dermatologic Surgery [Et Al.]. 41: S56-63. PMID 25548846 DOI: 10.1097/DSS.0000000000000265  0.347
2014 Xie XJ, Chen RX, Fu Y, Jiao L, Zhang B, Xiong J, He L, Qiani HL, Li JX, Luo XJ. [Efficacy comparison of lumber disc herniation treated with mild moxibustion at Yaoyangguan (GV 3) under different conditions]. Zhongguo Zhen Jiu = Chinese Acupuncture & Moxibustion. 34: 1077-80. PMID 25675566  0.334
2014 Xie XJ, Jiao L, Fu Y, Zhang B, Xiong J, Chen RX, He L, Qian HL, Luo XJ. [Clinical effect of different schemes of mild moxibustion for treatment of knee osteoarthritis]. Zhen Ci Yan Jiu = Acupuncture Research / [Zhongguo Yi Xue Ke Xue Yuan Yi Xue Qing Bao Yan Jiu Suo Bian Ji]. 39: 496-9. PMID 25632577  0.329
2014 He L, Pei H, Ma L, Pu Y, Chen J, Liu Z, Ran Y, Lei L, Fu S, Tang M, Peng A, Long C, Chen L. Synthesis and lipid-lowering evaluation of 3-methyl-1H-purine-2,6-dione derivatives as potent and orally available anti-obesity agents. European Journal of Medicinal Chemistry. 87: 595-610. PMID 25299682 DOI: 10.1016/j.ejmech.2014.09.094  0.409
2014 Zhong J, Yu Q, Yang P, Rao X, He L, Fang J, Tu Y, Zhang Z, Lai Q, Zhang S, Kuczma M, Kraj P, Xu JF, Gong F, Zhou J, et al. MBD2 regulates TH17 differentiation and experimental autoimmune encephalomyelitis by controlling the homeostasis of T-bet/Hlx axis. Journal of Autoimmunity. 53: 95-104. PMID 24934598 DOI: 10.1016/j.jaut.2014.05.006  0.388
2014 Feng Z, Jing N, He L. IPF: In-place X-filling algorithm for the reliability of modern FPGAs Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 22: 2225-2228. DOI: 10.1109/Tvlsi.2013.2282819  0.511
2014 Gong F, Shi Y, Yu H, He L. Variability-aware parametric yield estimation for analog/mixed-signal circuits: Concepts, algorithms, and challenges Ieee Design and Test. 31: 6-15. DOI: 10.1109/Mdat.2014.2299279  0.669
2014 Cheng L, Xu W, Ren F, Gong F, Gupta P, He L. Statistical timing and power analysis of VLSI considering non-linear dependence Integration, the Vlsi Journal. 47: 487-498. DOI: 10.1016/J.Vlsi.2013.12.004  0.529
2013 Qian HL, Fu Y, Xiong J, Zhang B, Xie HW, Chen RX, Luo XJ, He L, Xie XJ. [Relationship between patients' sensitivity to moxibustion stimulation and clinical effect in the treatment of peripheral facial palsy]. Zhen Ci Yan Jiu = Acupuncture Research / [Zhongguo Yi Xue Ke Xue Yuan Yi Xue Qing Bao Yan Jiu Suo Bian Ji]. 38: 493-6, 501. PMID 24579365  0.349
2013 He L, Peng K, Liu Y, Xiong J, Zhu FF. Low expression of mixed lineage kinase domain-like protein is associated with poor prognosis in ovarian cancer patients. Oncotargets and Therapy. 6: 1539-43. PMID 24204164 DOI: 10.2147/OTT.S52805  0.342
2013 He L, Pan C, Wang H, Feng Z. Note: Arbitrary periodical mechanical vibrations can be realized in the resonant state based on multiple tuning fork structure. The Review of Scientific Instruments. 84: 096104. PMID 24089879 DOI: 10.1063/1.4820919  0.362
2013 Mazin P, Xiong J, Liu X, Yan Z, Zhang X, Li M, He L, Somel M, Yuan Y, Phoebe Chen YP, Li N, Hu Y, Fu N, Ning Z, Zeng R, et al. Widespread splicing changes in human brain development and aging. Molecular Systems Biology. 9: 633. PMID 23340839 DOI: 10.1038/msb.2012.67  0.331
2013 Xiao J, Xiong J, Zhu F, He L. Effect of prednisone, aspirin, low molecular weight heparin and intravenous immunoglobulin on outcome of pregnancy in women with antiphospholipid syndrome. Experimental and Therapeutic Medicine. 5: 287-291. PMID 23251285 DOI: 10.3892/etm.2012.743  0.338
2013 Gong F, Basir-Kazeruni S, He L, Yu H. Stochastic behavioral modeling and analysis for analog/mixed-signal circuits Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 32: 24-33. DOI: 10.1109/Tcad.2012.2217961  0.527
2013 Wu W, Gong F, Krishnan R, He L, Yu H. Exploiting parallelism by data dependency elimination: A case study of circuit simulation algorithms Ieee Design and Test. 30: 26-35. DOI: 10.1109/Mdt.2012.2226201  0.542
2013 Basir-Kazeruni S, Yu H, Gong F, Hu Y, Liu C, He L. SPECO: Stochastic Perturbation based Clock tree Optimization considering temperature uncertainty Integration, the Vlsi Journal. 46: 22-32. DOI: 10.1016/J.Vlsi.2012.04.004  0.544
2012 Zhao L, He LR, Xi M, Cai MY, Shen JX, Li QQ, Liao YJ, Qian D, Feng ZZ, Zeng YX, Xie D, Liu MZ. Nimotuzumab promotes radiosensitivity of EGFR-overexpression esophageal squamous cell carcinoma cells by upregulating IGFBP-3. Journal of Translational Medicine. 10: 249. PMID 23232108 DOI: 10.1186/1479-5876-10-249  0.322
2012 Gong F, Xu W, Lee JY, He L, Sarrafzadeh M. NeuroGlasses: a neural sensing healthcare system for 3-D vision technology. Ieee Transactions On Information Technology in Biomedicine : a Publication of the Ieee Engineering in Medicine and Biology Society. 16: 198-204. PMID 22113812 DOI: 10.1109/TITB.2011.2176539  0.414
2012 Balkan A, Gao M, Tabuada P, He L. A Behavioral Algorithm for State of Charge Estimation World Electric Vehicle Journal. 5: 412-417. DOI: 10.3390/Wevj5020412  0.302
2012 Jing N, Lee JY, Feng Z, He W, Mao Z, He L. SEU fault evaluation and characteristics for SRAM-based fpga architectures and synthesis algorithms Acm Transactions On Design Automation of Electronic Systems. 18. DOI: 10.1145/2390191.2390204  0.488
2012 Cheng L, Xu W, Gong F, Lin Y, Wong HY, He L. Statistical timing and power optimization of architecture and device for FPGAs Acm Transactions On Reconfigurable Technology and Systems. 5. DOI: 10.1145/2209285.2209288  0.582
2012 Gong F, Liu X, Yu H, Tan SXD, Ren J, He L. A fast non-Monte-Carlo yield analysis and optimization by stochastic orthogonal polynomials Acm Transactions On Design Automation of Electronic Systems. 17. DOI: 10.1145/2071356.2071366  0.542
2012 Gong F, Yu H, Wang L, He L. A parallel and incremental extraction of variational capacitance with stochastic geometric moments Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 20: 1729-1737. DOI: 10.1109/Tvlsi.2011.2161352  0.512
2012 Cheng L, Gong F, Xu W, Xiong J, He L, Sarrafzadeh M. Fourier series approximation for max operation in non-gaussian and quadratic statistical static timing analysis Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 20: 1383-1391. DOI: 10.1109/Tvlsi.2011.2157843  0.633
2012 Yao W, Shi Y, He L, Pamarti S. Worst-Case Estimation for Data-Dependent Timing Jitter and Amplitude Noise in High-Speed Differential Link Ieee Transactions On Very Large Scale Integration Systems. 20: 89-97. DOI: 10.1109/Tvlsi.2010.2090544  0.633
2011 Xiong J, Wang H, Guo G, Wang S, He L, Chen H, Wu J. Male germ cell apoptosis and epigenetic histone modification induced by Tripterygium wilfordii Hook F. Plos One. 6: e20751. PMID 21698297 DOI: 10.1371/journal.pone.0020751  0.354
2011 He L, Xiong J, Hu WR, Zhang QZ, Hu XB, Li P. [Acute lymphoblastic leukemia complicated by posterior reversible encephalopathy syndrome: a case report]. Zhongguo Dang Dai Er Ke Za Zhi = Chinese Journal of Contemporary Pediatrics. 13: 261-2. PMID 21426652  0.317
2011 Zhang R, Wang T, Xie C, Lin X, Jiang Z, Wang Z, Liu Y, Luo Y, Long C, He L, Wang P, Gao Q. Evaluation of supporting role of a foldable capsular vitreous body with magnetic resonance imaging in the treatment of severe retinal detachment in human eyes. Eye (London, England). 25: 794-802. PMID 21423138 DOI: 10.1038/Eye.2011.61  0.404
2011 Lin X, Ge J, Gao Q, Wang Z, Long C, He L, Liu Y, Jiang Z. Evaluation of the flexibility, efficacy, and safety of a foldable capsular vitreous body in the treatment of severe retinal detachment. Investigative Ophthalmology & Visual Science. 52: 374-81. PMID 20811065 DOI: 10.1167/iovs.10-5869  0.415
2011 Shi Y, Xiong J, Chen H, He L. Runtime Resonance Noise Reduction with Current Prediction Enabled Frequency Actuator Ieee Transactions On Very Large Scale Integration Systems. 19: 508-512. DOI: 10.1109/Tvlsi.2009.2036266  0.613
2011 Xu W, Wang J, Hu Y, Lee JY, Gong F, He L, Sarrafzadeh M. In-place FPGA retiming for mitigation of variational single-event transient faults Ieee Transactions On Circuits and Systems I: Regular Papers. 58: 1372-1381. DOI: 10.1109/Tcsi.2010.2094370  0.527
2010 Yu H, Chu C, Shi Y, Smart D, He L, Tan SX-. Fast Analysis of a Large-Scale Inductive Interconnect by Block-Structure-Preserved Macromodeling Ieee Transactions On Very Large Scale Integration Systems. 18: 1399-1411. DOI: 10.1109/Tvlsi.2009.2024343  0.555
2010 Shi Y, He L. EMPIRE: An Efficient and Compact Multiple-Parameterized Model-Order Reduction Method for Physical Optimization Ieee Transactions On Very Large Scale Integration Systems. 18: 108-118. DOI: 10.1109/Tvlsi.2008.2007842  0.582
2010 Cao Z, Foo B, He L, Schaar Mvd. Optimality and Improvement of Dynamic Voltage Scaling Algorithms for Multimedia Applications Ieee Transactions On Circuits and Systems I-Regular Papers. 57: 681-690. DOI: 10.1109/Tcsi.2009.2023941  0.336
2010 Chen D, Cong J, Dong C, He L, Li F, Peng C. Technology Mapping and Clustering for FPGA Architectures With Dual Supply Voltages Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 29: 1709-1722. DOI: 10.1109/Tcad.2010.2061770  0.615
2009 Cheng L, Gupta P, He L. Efficient Additive Statistical Leakage Estimation Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 28: 1777-1781. DOI: 10.1109/Tcad.2009.2030433  0.309
2009 Hu Y, Das S, Trimberger S, He L. Design and Synthesis of Programmable Logic Block With Mixed LUT and Macrogate Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 28: 591-595. DOI: 10.1109/Tcad.2009.2014001  0.312
2009 Liu S, Chen G, Jing TT, He L, Zhang T, Dutta R, Hong X. Substrate Topological Routing for High-Density Packages Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 28: 207-216. DOI: 10.1109/Tcad.2008.2009154  0.323
2009 Cheng L, Xiong J, He L. Non-Gaussian Statistical Timing Analysis Using Second-Order Polynomial Fitting Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 28: 130-140. DOI: 10.1109/Tcad.2008.2009143  0.516
2009 Yu H, He L, Chang M-F. Robust On-Chip Signaling by Staggered and Twisted Bundle Ieee Design & Test of Computers. 26: 92-104. DOI: 10.1109/Mdt.2009.121  0.304
2008 Hu Y, Lin Y, He L, Tuan T. Physical synthesis for FPGA interconnect power reduction by dual-Vdd budgeting and retiming Acm Transactions On Design Automation of Electronic Systems. 13: 30. DOI: 10.1145/1344418.1344426  0.426
2008 Lin Y, He L, Hutton M. Stochastic physical synthesis considering prerouting interconnect uncertainty and process variation for FPGAs Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 16: 124-133. DOI: 10.1109/Tvlsi.2007.912027  0.439
2008 Tam KH, Hu Y, He L, Jing TT, Zhang X. Dual-Vdd buffer insertion for power reduction Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 27: 1498-1502. DOI: 10.1109/Tcad.2008.925784  0.707
2008 Shi Y, Xiong J, Liu C, He L. Efficient Decoupling Capacitance Budgeting Considering Operation and Process Variations Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 27: 1253-1263. DOI: 10.1109/Tcad.2008.923636  0.589
2008 Cao Z, Jing TT, Xiong J, Hu Y, Feng Z, He L, Hong X. Fashion: A Fast and Accurate Solution to Global Routing Problem Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 27: 726-737. DOI: 10.1109/Tcad.2008.917590  0.583
2008 Hu Y, Shih V, Majumdar R, He L. Exploiting Symmetries to Speed Up SAT-Based Boolean Matching for Logic Synthesis of FPGAs Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 27: 1751-1760. DOI: 10.1109/Tcad.2008.2003272  0.304
2007 Shi Y, Mesa P, Yu H, He L. Circuit-simulated obstacle-aware Steiner routing Acm Transactions On Design Automation of Electronic Systems. 12: 28. DOI: 10.1145/1255456.1255465  0.567
2007 Long C, Simonson LJ, Liao W, He L. Microarchitecture Configurations and Floorplanning Co-Optimization Ieee Transactions On Very Large Scale Integration Systems. 15: 830-841. DOI: 10.1109/Tvlsi.2007.899240  0.553
2007 Liu P, Tan SX-, McGaughy B, Wu L, He L. TermMerg: An Efficient Terminal-Reduction Method for Interconnect Circuits Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 1382-1392. DOI: 10.1109/Tcad.2007.893554  0.328
2007 Xiong J, He L. Probabilistic transitive-closure ordering and its application on variational buffer insertion Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 739-742. DOI: 10.1109/Tcad.2007.891360  0.493
2007 Cheng L, Li F, Lin Y, Wong P, He L. Device and Architecture Cooptimization for FPGA Power Reduction Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 1211-1221. DOI: 10.1109/Tcad.2006.888289  0.418
2007 Chen J, He L. Efficient In-Package Decoupling Capacitor Optimization for I/O Power Integrity Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 734-738. DOI: 10.1109/Tcad.2006.888262  0.339
2007 He L, Kahng AB, Tam KH, Xiong J. Simultaneous Buffer Insertion and Wire Sizing Considering Systematic CMP Variation and Random $L_{\rm eff}$ Variation Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 845-857. DOI: 10.1109/Tcad.2006.884869  0.52
2007 Li F, Lin Y, He L. Field Programmability of Supply Voltages for FPGA Power Reduction Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 752-764. DOI: 10.1109/Tcad.2006.884848  0.419
2007 Xiong J, Zolotov V, He L. Robust Extraction of Spatial Correlation Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 619-631. DOI: 10.1109/Tcad.2006.884403  0.465
2007 Lin Y, Hutton M, He L. Statistical placement for FPGAs considering process variation Iet Computers and Digital Techniques. 1: 267-275. DOI: 10.1049/Iet-Cdt:20060185  0.425
2007 Xiong J, He L. Full-chip multilevel routing for power and signal integrity Integration. 40: 226-234. DOI: 10.1016/J.Vlsi.2005.11.001  0.482
2006 Wang A, Zhang Y, He L, Shen Z, Liao W, Han M, Li R, Liang D, Wu S, Hahn-Ast C, Glasmacher A, Liekwok WA. Clinical study on the efficacy and safety of intravenous itraconazole infusion for the treatment of invasive fungal infection in china. Japanese Journal of Infectious Diseases. 59: 370-6. PMID 17186955  0.379
2006 Lin Y, He L. Dual-Vdd Interconnect With Chip-Level Time Slack Allocation for FPGA Power Reduction Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 2023-2034. DOI: 10.1109/Tcad.2006.870858  0.431
2006 Chen J, He L. Modeling and synthesis of multiport transmission line for multichannel communication Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 1664-1676. DOI: 10.1109/Tcad.2005.858272  0.308
2006 Qi Z, Yu H, Liu P, Tan SX-, He L. Wideband passive multiport model order reduction and realization of RLCM circuits Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 1496-1509. DOI: 10.1109/Tcad.2005.855937  0.348
2005 Liu L, Zhou HY, Feng ZW, He L, Su ZY. [Urinary S100B protein and lactate/creatinine ratio measurements: a tool for the early identification of neonatal hypoxic-ischemic encephalopathy]. Zhonghua Er Ke Za Zhi. Chinese Journal of Pediatrics. 43: 564-7. PMID 16191261  0.341
2005 He L, Zhang G, He Y, Zhu H, Zhang H, Feng Z. Blockade of B7-H1 with sPD-1 improves immunity against murine hepatocarcinoma. Anticancer Research. 25: 3309-13. PMID 16101143  0.333
2005 He LX, Zhang GM, Feng ZH. [Comparison of antitumor effects of dendritic cells modified with different forms of hepatocellular cancer antigens]. Xi Bao Yu Fen Zi Mian Yi Xue Za Zhi = Chinese Journal of Cellular and Molecular Immunology. 21: 100-2, 117. PMID 15629095  0.334
2005 Liao W, He L. Microarchitecture Level Interconnect Modeling Considering Layout Optimization Journal of Low Power Electronics. 1: 297-308. DOI: 10.1166/Jolpe.2005.036  0.5
2005 Liao W, Basile JM, He L. Microarchitecture-level leakage reduction with data retention Ieee Transactions On Very Large Scale Integration Systems. 13: 1324-1328. DOI: 10.1109/Tvlsi.2005.859560  0.507
2005 Lin Y, Li F, He L. Circuits and architectures for field programmable gate array with configurable supply voltage Ieee Transactions On Very Large Scale Integration Systems. 13: 1035-1047. DOI: 10.1109/Tvlsi.2005.857180  0.412
2005 Xiong J, He L. Extended global routing with RLC crosstalk constraints Ieee Transactions On Very Large Scale Integration Systems. 13: 319-329. DOI: 10.1109/Tvlsi.2004.842896  0.502
2005 Li F, Lin Y, He L, Chen D, Cong J. Power modeling and characteristics of field programmable gate arrays Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 24: 1712-1724. DOI: 10.1109/Tcad.2005.852293  0.65
2005 Liao W, He L, Lepak KM. Temperature and supply voltage aware performance and power modeling at microarchitecture level Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 24: 1042-1053. DOI: 10.1109/Tcad.2005.850860  0.509
2005 Chen J, He L. Worst case crosstalk noise for nonswitching victims in high-speed buses Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 24: 1275-1283. DOI: 10.1109/Tcad.2005.850823  0.33
2004 Long C, He L. Distributed sleep transistor network for power reduction Ieee Transactions On Very Large Scale Integration Systems. 12: 937-946. DOI: 10.1109/Tvlsi.2004.832939  0.504
2004 Xiong J, He L. Full-chip routing optimization with RLC crosstalk budgeting Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 23: 366-377. DOI: 10.1109/Tcad.2004.823347  0.513
2002 Lou XL, He LM, Gong FL, Yu X, Xu T, Zhou Z. [A brief introduction to the secretion mechanism in immunocytes]. Sheng Li Xue Bao : [Acta Physiologica Sinica]. 54: 183-8. PMID 12075462  0.408
1999 Cong J, He L. Theory and algorithm of local-refinement-based optimization with application to device and interconnect sizing Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 18: 406-420. DOI: 10.1109/43.752925  0.544
1996 Cong J, He L. Optimal wiresizing for interconnects with multiple sources Acm Transactions On Design Automation of Electronic Systems. 1: 478-511. DOI: 10.1145/238997.239018  0.562
1996 Cong J, He L, Koh C, Madden PH. Performance optimization of VLSI interconnect layout Integration. 21: 1-94. DOI: 10.1016/S0167-9260(96)00008-9  0.761
1986 Zhang XY, Sun JX, Xiong J, He LY, Gao PZ, Li HH, Zhong HL. A preliminary study on the immunodiagnosis of paragonimiasis--the physico-chemical and immunological characteristics of the crude and partially purified antigens of Paragonimus westermani (Liaoning). Scientia Sinica. Series B, Chemical, Biological, Agricultural, Medical & Earth Sciences / Chung-Kuo K'O HsüEh YüAn, Chu Pan. 29: 67-75. PMID 3749865  0.324
Show low-probability matches.