Jan M. Rabaey - Publications

Affiliations: 
Electrical Engineering and Computer Science University of California, Berkeley, Berkeley, CA, United States 
Area:
Communications & Networking (COMNET); Design, Modeling and Analysis (DMA); Energy (ENE); Integrated Circuits (INC); Signal Processing (SP); Computer architecture
Website:
https://www2.eecs.berkeley.edu/Faculty/Homepages/rabaey.html

150 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Moin A, Thielens A, Araujo A, Sangiovanni-Vincentelli A, Rabaey JM. Adaptive Body Area Networks Using Kinematics and Biosignals. Ieee Journal of Biomedical and Health Informatics. PMID 32749974 DOI: 10.1109/Jbhi.2020.3003924  0.443
2019 Zhou A, Santacruz SR, Johnson BC, Alexandrov G, Moin A, Burghardt FL, Rabaey JM, Carmena JM, Muller R. A wireless and artefact-free 128-channel neuromodulation device for closed-loop stimulation and recording in non-human primates. Nature Biomedical Engineering. 3: 15-26. PMID 30932068 DOI: 10.1038/S41551-018-0323-X  0.58
2019 Anderson MG, Thielens A, Wielandt S, Niknejad A, Rabaey J. Ultralow-Power Radio Frequency Beamformer Using Transmission-Line Transformers and Tunable Passives Ieee Microwave and Wireless Components Letters. 29: 158-160. DOI: 10.1109/Lmwc.2018.2886628  0.35
2019 Datta S, Antonio RAG, Ison ARS, Rabaey JM. A Programmable Hyper-Dimensional Processor Architecture for Human-Centric IoT Ieee Journal On Emerging and Selected Topics in Circuits and Systems. 9: 439-452. DOI: 10.1109/Jetcas.2019.2935464  0.331
2018 Li W, Duan Y, Rabaey J. A 200Mbps Energy Efficient Transcranial Transmitter Using Inductive Coupling. Ieee Transactions On Biomedical Circuits and Systems. PMID 30596584 DOI: 10.1109/Tbcas.2018.2889802  0.42
2018 Thielens A, Benarrouch R, Wielandt S, Anderson MG, Moin A, Cathelin A, Rabaey JM. A Comparative Study of On-Body Radio-Frequency Links in the 420 MHz⁻2.4 GHz Range. Sensors (Basel, Switzerland). 18. PMID 30486453 DOI: 10.3390/S18124165  0.329
2018 Kim N, Rabaey JM. A 3.1–10.6-GHz 57-Bands CMOS Frequency Synthesizer for UWB-Based Cognitive Radios Ieee Transactions On Microwave Theory and Techniques. 66: 4134-4146. DOI: 10.1109/Tmtt.2018.2852283  0.371
2018 Huang P, Rabaey J. A 213-nW/Channel Analog Euclidian Vector Normalizer Ieee Transactions On Circuits and Systems Ii: Express Briefs. 65: 1909-1913. DOI: 10.1109/Tcsii.2018.2823716  0.44
2018 De Venuto D, Mezzina G, Rabaey J. Automatic 3D Design for Efficiency Optimization of a Class E Power Amplifier Ieee Transactions On Circuits and Systems Ii: Express Briefs. 65: 201-205. DOI: 10.1109/Tcsii.2017.2765249  0.354
2018 Kim N, Rabaey JM. A Dual-Resolution Wavelet-Based Energy Detection Spectrum Sensing for UWB-Based Cognitive Radios Ieee Transactions On Circuits and Systems I: Regular Papers. 65: 2279-2292. DOI: 10.1109/Tcsi.2017.2781542  0.416
2018 Jung S, Kwon P, Piech D, Maharbiz M, Rabaey J, Alon E. A 2.7-$\mu$ W Neuromodulation AFE With 200 mVpp Differential-Mode Stimulus Artifact Canceler Including On-Chip LMS Adaptation Ieee Solid-State Circuits Letters. 1: 194-197. DOI: 10.1109/LSSC.2019.2908090  0.664
2018 Wu TF, Li H, Huang P, Rahimi A, Hills G, Hodson B, Hwang W, Rabaey JM, Wong HP, Shulaker MM, Mitra S. Hyperdimensional Computing Exploiting Carbon Nanotube FETs, Resistive RAM, and Their Monolithic 3D Integration Ieee Journal of Solid-State Circuits. 53: 3183-3196. DOI: 10.1109/Jssc.2018.2870560  0.323
2018 Sutardja C, Rabaey JM. Isolator-Less Near-Field RFID Reader for Sub-Cranial Powering/Data Link of Millimeter-Sized Implants Ieee Journal of Solid-State Circuits. 53: 2032-2042. DOI: 10.1109/Jssc.2018.2822673  0.423
2018 Huang P, Rabaey JM. A Neuro-Inspired Spike Pattern Classifier Ieee Journal On Emerging and Selected Topics in Circuits and Systems. 8: 555-565. DOI: 10.1109/Jetcas.2018.2842035  0.352
2017 Huang P, Rabaey JM. A Bio-Inspired Analog Gas Sensing Front End Ieee Transactions On Circuits and Systems I: Regular Papers. 64: 2611-2623. DOI: 10.1109/Tcsi.2017.2697945  0.365
2017 Imani M, Hwang J, Rosing T, Rahimi A, Rabaey JM. Low-Power Sparse Hyperdimensional Encoder for Language Recognition Ieee Design & Test. 34: 94-101. DOI: 10.1109/Mdat.2017.2740839  0.333
2017 Maharbiz MM, Muller R, Alon E, Rabaey JM, Carmena JM. Reliable Next-Generation Cortical Interfaces for Chronic Brain–Machine Interfaces and Neuroscience Proceedings of the Ieee. 105: 73-82. DOI: 10.1109/JPROC.2016.2574938  0.725
2017 Yedavalli PS, Riihonen T, Wang X, Rabaey JM. Far-Field RF Wireless Power Transfer with Blind Adaptive Beamforming for Internet of Things Devices Ieee Access. 5: 1743-1752. DOI: 10.1109/Access.2017.2666299  0.408
2016 Moin A, Alexandrov G, Johnson BC, Izyumin I, Burghardt F, Shah K, Pannu S, Alon E, Muller R, Rabaey JM. Powering and communication for OMNI: A distributed and modular closed-loop neuromodulation device. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 2016: 4471-4474. PMID 28269271 DOI: 10.1109/EMBC.2016.7591720  0.625
2016 Moin A, Alexandrov G, Johnson BC, Izyumin I, Burghardt F, Shah K, Pannu S, Alon E, Muller R, Rabaey JM, Moin A, Alexandrov G, Johnson BC, Izyumin I, Burghardt F, ... ... Rabaey JM, ... ... Rabaey JM, et al. Powering and communication for OMNI: A distributed and modular closed-loop neuromodulation device. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 2016: 4471-4474. PMID 28227505 DOI: 10.1109/EMBC.2016.7591720  0.625
2016 Seo D, Neely RM, Shen K, Singhal U, Alon E, Rabaey JM, Carmena JM, Maharbiz MM. Wireless Recording in the Peripheral Nervous System with Ultrasonic Neural Dust. Neuron. 91: 529-39. PMID 27497221 DOI: 10.1016/J.Neuron.2016.06.034  0.793
2016 Salazar C, Cathelin A, Kaiser A, Rabaey J. A 2.4 GHz Interferer-Resilient Wake-Up Receiver Using A Dual-IF Multi-Stage N-Path Architecture Ieee Journal of Solid-State Circuits. 51: 2091-2105. DOI: 10.1109/Jssc.2016.2582509  0.409
2016 Kim NS, Rabaey JM. A High Data-Rate Energy-Efficient Triple-Channel UWB-Based Cognitive Radio Ieee Journal of Solid-State Circuits. DOI: 10.1109/Jssc.2015.2512934  0.415
2016 Ganesan K, Grover P, Rabaey J, Goldsmith A. On the Total Power Capacity of Regular-LDPC Codes with Iterative Message-Passing Decoders Ieee Journal On Selected Areas in Communications. 34: 375-396. DOI: 10.1109/Jsac.2015.2504276  0.382
2015 Dongjin Seo, Hao-Yen Tang, Carmena JM, Rabaey JM, Alon E, Boser BE, Maharbiz MM. Ultrasonic beamforming system for interrogating multiple implantable sensors. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 2015: 2673-2676. PMID 26736842 DOI: 10.1109/EMBC.2015.7318942  0.801
2015 Seo D, Carmena JM, Rabaey JM, Maharbiz MM, Alon E. Model validation of untethered, ultrasonic neural dust motes for cortical recording. Journal of Neuroscience Methods. 244: 114-22. PMID 25109901 DOI: 10.1016/J.Jneumeth.2014.07.025  0.812
2015 Moradi E, Amendola S, Björninen T, Sydänheimo L, Carmena JM, Rabaey JM, Ukkonen L. Backscattering neural tags for wireless brain-machine interface systems Ieee Transactions On Antennas and Propagation. 63: 719-726. DOI: 10.1109/Tap.2014.2384038  0.65
2015 Sabry Aly MM, Gao M, Hills G, Lee C, Pitner G, Shulaker MM, Wu TF, Asheghi M, Bokor J, Franchetti F, Goodson KE, Kozyrakis C, Markov I, Olukotun K, Pileggi L, ... ... Rabaey J, et al. Energy-Efficient Abundant-Data Computing: The N3XT 1,000x Computer. 48: 24-33. DOI: 10.1109/Mc.2015.376  0.304
2015 Biederman W, Yeager DJ, Narevsky N, Leverett J, Neely R, Carmena JM, Alon E, Rabaey JM. A 4.78 mm2 Fully-Integrated Neuromodulation SoC Combining 64 Acquisition Channels with Digital Compression and Simultaneous Dual Stimulation Ieee Journal of Solid-State Circuits. 50: 1038-1047. DOI: 10.1109/JSSC.2014.2384736  0.765
2014 Bertrand A, Seo D, Maksimovic F, Carmena JM, Maharbiz MM, Alon E, Rabaey JM. Beamforming approaches for untethered, ultrasonic neural dust motes for cortical recording: a simulation study. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 2014: 2625-8. PMID 25570529 DOI: 10.1109/EMBC.2014.6944161  0.78
2014 Moradi E, Koski K, Björninen T, Muller R, Ledochowitsch P, Sydänheimo L, Alon E, Maharbiz MM, Rabaey JM, Ukkonen L, Rahmat-Samii Y. Advances in implantable and wearable antennas for wireless brain-machine interface systems 2014 United States National Committee of Ursi National Radio Science Meeting, Usnc-Ursi Nrsm 2014. DOI: 10.1109/USNC-URSI-NRSM.2014.6928137  0.677
2014 Consoli E, Palumbo G, Rabaey JM, Alioto M. Novel class of energy-efficient very high-speed conditional push-pull pulsed latches Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 22: 1593-1605. DOI: 10.1109/Tvlsi.2013.2276100  0.307
2014 Lee EA, Rabaey J, Hartmann B, Kubiatowicz J, Pister K, Simunic Rosing T, Wawrzynek J, Wessel D, Sangiovanni-Vincentelli A, Seshia SA, Blaauw D, Dutta P, Fu K, Guestrin C, Taskar B, et al. The swarm at the edge of the cloud Ieee Design and Test. 31: 8-20. DOI: 10.1109/Mdat.2014.2314600  0.317
2014 Rao S, Llombart N, Moradi E, Koski K, Björninen T, Sydänheimo L, Rabaey JM, Carmena JM, Rahmat-Samii Y, Ukkonen L. Antenna applications corner: Miniature implantable and wearable on-body antennas: Towards the new era of wireless body-centric systems Ieee Antennas and Propagation Magazine. 56: 271-291. DOI: 10.1109/Map.2014.6821799  0.598
2014 Yeager D, Biederman W, Narevsky N, Leverett J, Neely R, Carmena J, Alon E, Rabaey J. A 4.78mm2 fully-integrated neuromodulation SoC combining 64 acquisition channels with digital compression and simultaneous dual stimulation Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. DOI: 10.1109/Jssc.2014.2384736  0.78
2014 Muller R, Le HP, Li W, Ledochowitsch P, Gambini S, Bjorninen T, Koralek A, Carmena JM, Maharbiz MM, Alon E, Rabaey JM. A Minimally Invasive 64-Channel Wireless μeCoG Implant Ieee Journal of Solid-State Circuits. 50: 344-359. DOI: 10.1109/Jssc.2014.2364824  0.821
2014 Muller R, Le HP, Li W, Ledochowitsch P, Gambini S, Bjorninen T, Koralek A, Carmena JM, Maharbiz MM, Alon E, Rabaey JM. 24.1 A miniaturized 64-channel 225μW wireless electrocorticographic neural sensor Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 57: 412-413. DOI: 10.1109/ISSCC.2014.6757492  0.774
2014 Moradi E, Amendola S, Björninen T, Sydänheimo L, Ukkonen L, Carmena JM, Rabaey JM. Wireless testing of ink-jet printed mm-size gold implant antennas for Brain-Machine Interfaces Ieee Antennas and Propagation Society, Ap-S International Symposium (Digest). 963-964. DOI: 10.1109/APS.2014.6904809  0.501
2014 De Venuto D, Rabaey J. RFID transceiver for wireless powering brain implanted microelectrodes and backscattered neural data collection Microelectronics Journal. 45: 1585-1594. DOI: 10.1016/J.Mejo.2014.08.007  0.404
2013 Marblestone AH, Zamft BM, Maguire YG, Shapiro MG, Cybulski TR, Glaser JI, Amodei D, Stranges PB, Kalhor R, Dalrymple DA, Seo D, Alon E, Maharbiz MM, Carmena JM, Rabaey JM, et al. Physical principles for scalable neural recording. Frontiers in Computational Neuroscience. 7: 137. PMID 24187539 DOI: 10.3389/Fncom.2013.00137  0.791
2013 Chu P, Muller R, Koralek A, Carmena JM, Rabaey JM, Gambini S. Equalization for intracortical microstimulation artifact reduction. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 2013: 245-8. PMID 24109670 DOI: 10.1109/EMBC.2013.6609483  0.518
2013 Moradi E, Björninen T, Sydänheimo L, Carmena JM, Rabaey JM, Ukkonen L. Measurement of wireless link for brain-machine interface systems using human-head equivalent liquid Ieee Antennas and Wireless Propagation Letters. 12: 1307-1310. DOI: 10.1109/Lawp.2013.2283737  0.624
2013 Alioto M, Consoli E, Rabaey JM. "EChO" reconfigurable power management unit for energy reduction in sleep-active transitions Ieee Journal of Solid-State Circuits. 48: 1921-1932. DOI: 10.1109/Jssc.2013.2258816  0.366
2013 Liu TT, Rabaey JM. A 0.25 v 460 nW asynchronous neural signal processor with inherent leakage suppression Ieee Journal of Solid-State Circuits. 48: 897-906. DOI: 10.1109/Jssc.2013.2239096  0.431
2013 Biederman W, Yeager DJ, Narevsky N, Koralek AC, Carmena JM, Alon E, Rabaey JM. A fully-integrated, miniaturized (0.125 mm2) 10.5 μw wireless neural sensor Ieee Journal of Solid-State Circuits. 48: 960-970. DOI: 10.1109/Jssc.2013.2238994  0.816
2013 De Venuto D, Rabaey J. Wireless powering and data communication for neural implantable electrodes Proceedings of the 2013 5th Ieee International Workshop On Advances in Sensors and Interfaces, Iwasi 2013. 148-153. DOI: 10.1109/IWASI.2013.6576103  0.305
2013 Björninen T, Moradi E, Sydänheimo L, Carmena JM, Rabaey JM, Ukkonen L. Electromagnetic modelling and measurement of antennas for wireless brain-machine interface systems 2013 Ieee Mtt-S International Microwave Workshop Series On Rf and Wireless Technologies For Biomedical and Healthcare Applications, Imws-Bio 2013 - Proceedings. DOI: 10.1109/IMWS-BIO.2013.6756196  0.497
2013 De Venuto D, Rabaey J. Data communication and power system for wireless neural recording Ieee International Conference On Emerging Technologies and Factory Automation, Etfa. DOI: 10.1109/ETFA.2013.6648144  0.328
2012 Yeager D, Biederman W, Narevsky N, Alon E, Rabaey J. A fully-integrated 10.5μW miniaturized (0.125mm 2) wireless neural sensor Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 72-73. DOI: 10.1109/VLSIC.2012.6243795  0.823
2012 Bjorninen T, Muller R, Ledochowitsch P, Sydanheimo L, Ukkonen L, Maharbiz MM, Rabaey JM. Design of wireless links to implanted brain-machine interface microelectronic systems Ieee Antennas and Wireless Propagation Letters. 11: 1663-1666. DOI: 10.1109/Lawp.2013.2239252  0.627
2012 Gambini S, Crossley J, Alon E, Rabaey JM. A fully integrated, 290 pJ/bit UWB dual-mode transceiver for cm-range wireless interconnects Ieee Journal of Solid-State Circuits. 47: 586-598. DOI: 10.1109/Jssc.2011.2177690  0.652
2012 Muller R, Gambini S, Rabaey JM. A 0.013 mm2, 5 μ W , DC-coupled neural signal acquisition ic with 0.5 v supply Ieee Journal of Solid-State Circuits. 47: 232-243. DOI: 10.1109/Jssc.2011.2163552  0.358
2012 Richmond J, John M, Alarcon L, Zhou W, Li W, Liu TT, Alioto M, Sanders SR, Rabaey JM. Active RFID: Perpetual wireless communications platform for sensors European Solid-State Circuits Conference. 434-437. DOI: 10.1109/ESSCIRC.2012.6341348  0.673
2012 Biederman W, Yeager D, Alon E, Rabaey J. A CMOS switched-capacitor fractional bandgap reference Proceedings of the Custom Integrated Circuits Conference. DOI: 10.1109/CICC.2012.6330568  0.785
2011 Alarcon LP, Liu TT, Rabaey JM. A low-leakage parallel CRC generator for ultra-low power applications Proceedings - Ieee International Symposium On Circuits and Systems. 2063-2066. DOI: 10.1109/ISCAS.2011.5938003  0.692
2011 Mark M, Björninen T, Ukkonen L, Sydänheimo L, Rabaey JM. SAR reduction and link optimization for mm-size remotely powered wireless implants using segmented loop antennas 2011 Ieee Radio and Wireless Week, Rww 2011 - 2011 Ieee Topical Conference On Biomedical Wireless Technologies, Networks, and Sensing Systems, Biowireless 2011. 7-10. DOI: 10.1109/BIOWIRELESS.2011.5724339  0.556
2011 Rabaey JM, Mark M, Chen D, Sutardja C, Tang C, Gowda S, Wagner M, Werthimer D. Powering and communicating with mm-size implants Proceedings -Design, Automation and Test in Europe, Date. 722-727.  0.648
2011 Mark M, Chen Y, Sutardja C, Tang C, Gowda S, Wagner M, Werthimer D, Rabaey J. A 1mm3 2Mbps 330fJ/b transponder for implanted neural sensors Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 168-169.  0.607
2010 Mark M, Bjorninen T, Chen YD, Venkatraman S, Ukkonen L, Sydanheimo L, Carmena JM, Rabaey JM. Wireless channel characterization for mm-size neural implants. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 2010: 1565-8. PMID 21096382 DOI: 10.1109/IEMBS.2010.5626695  0.738
2010 Gambini S, Crossley J, Alon E, Rabaey J. A fully integrated, 300pJ/bit, dual mode wireless transceiver for cm-range interconnects Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 31-32. DOI: 10.1109/VLSIC.2010.5560262  0.584
2010 Frantz G, Henkel J, Rabaey J, Schneider T, Wolf M, Batur U. Ultra-low power signal processing Ieee Signal Processing Magazine. 27: 149-154. DOI: 10.1109/Msp.2009.935417  0.364
2010 Markovic D, Wang CC, Alarcon LP, Liu TT, Rabaey JM. Ultralow-power design in near-threshold region Proceedings of the Ieee. 98: 237-252. DOI: 10.1109/JPROC.2009.2035453  0.725
2010 Wu CC, Sun X, Sangiovanni-Vincentelli A, Rabaey JM. A 2.2mW CMOS LNA for 6-8.5GHz UWB receivers Iscas 2010 - 2010 Ieee International Symposium On Circuits and Systems: Nano-Bio Circuit Fabrics and Systems. 1631-1634. DOI: 10.1109/ISCAS.2010.5537463  0.322
2009 Liu TT, Alarcon LP, Pierson MD, Rabaey JM. Asynchronous computing in sense amplifier-based pass transistor logic Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 17: 883-892. DOI: 10.1109/Tvlsi.2008.2012054  0.705
2009 Pletcher NM, Gambini S, Rabaey J. A 52 μw Wake-Up receiver with -72 dBm sensitivity using an uncertain-IF architecture Ieee Journal of Solid-State Circuits. 44: 269-280. DOI: 10.1109/Jssc.2008.2007438  0.836
2009 Mark M, Rabaey JM. A 13.2 mW 1.9 GHz interpolative BAW-based VCO for miniaturized RF frequency synthesis Proceedings - Ieee International Symposium On Circuits and Systems. 1441-1444. DOI: 10.1109/ISCAS.2009.5118037  0.544
2009 Ho C, Evans J, Mark M, Rabaey J, Koplow M, Miller L, Chen A, Reilly E, Wright P. Technologies for an autonomous wireless home healthcare system Proceedings - 2009 6th International Workshop On Wearable and Implantable Body Sensor Networks, Bsn 2009. 29-34. DOI: 10.1109/BSN.2009.50  0.529
2008 Seeman MD, Sanders SR, Rabaey JM. An ultra-low-power power management IC for energy-scavenged wireless sensor nodes Pesc Record - Ieee Annual Power Electronics Specialists Conference. 925-931. DOI: 10.1109/PESC.2008.4592048  0.355
2008 Rabaey JM, Malik S. Challenges and solutions for late- and post-silicon design Ieee Design and Test of Computers. 25: 296-302. DOI: 10.1109/Mdt.2008.91  0.312
2008 Rabaey JM, Burke D, Lutz K, Wawrzynek J. Workloads of the future Ieee Design and Test of Computers. 25: 358-365. DOI: 10.1109/Mdt.2008.118  0.333
2008 Fletcher NM, Gambini S, Rabaey JM. A 2GHz 52μW wake-up receiver with -72dBm sensitivity using uncertain-IF architecture Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 51: 524-525+633+521. DOI: 10.1109/ISSCC.2008.4523288  0.37
2008 Kumar A, Qin H, Ishwar P, Rabaey J, Ramchandran K. Fundamental data retention limits in sram standby - Experimental results Proceedings of the 9th International Symposium On Quality Electronic Design, Isqed 2008. 92-97. DOI: 10.1109/ISQED.2008.4479705  0.315
2008 Chee YH, Koplow M, Mark M, Pletcher N, Seeman M, Burghardt F, Steingart D, Rabaey J, Wright P, Sanders S. PicoCube: A 1cm3 sensor node powered by harvested energy Proceedings - Design Automation Conference. 114-119. DOI: 10.1109/DAC.2008.4555792  0.795
2008 Seeman MD, Sanders SR, Rabaey JM. An ultra-low-power power management IC for wireless sensor nodes Proceedings of the Custom Integrated Circuits Conference. 567-570. DOI: 10.1109/CICC.2007.4405795  0.365
2008 Pletcher N, Gambini S, Rabaey J. A 65μW, 1.9 GHz RF to digital baseband wakeup receiver for wireless sensor nodes Proceedings of the Custom Integrated Circuits Conference. 539-542. DOI: 10.1109/CICC.2007.4405789  0.829
2008 Van Greunen J, Rabaey J. Content management and replication in the SNSP: A distributed service-based OS for sensor networks 2008 5th Ieee Consumer Communications and Networking Conference, Ccnc 2008. 655-659. DOI: 10.1109/ccnc08.2007.151  0.777
2007 Alarcón LP, Liu T, Pierson MD, Rabaey JM. Exploring Very Low-Energy Logic: A Case Study Journal of Low Power Electronics. 3: 223-233. DOI: 10.1166/Jolpe.2007.136  0.647
2007 Soini MNK, Van Greunen J, Rabaey JM, Sydanheimo LT. Beyond sensor networks: ZUM a middleware Ieee Wireless Communications and Networking Conference, Wcnc. 4321-4326. DOI: 10.1109/WCNC.2007.787  0.808
2007 Gambini S, Rabaey J. Low-power successive approximation converter with 0.5 v supply in 90 nm CMOS Ieee Journal of Solid-State Circuits. 42: 2348-2356. DOI: 10.1109/Jssc.2007.906210  0.483
2007 Otis B, Gambini S, Shah R, Steingart D, Quévy E, Rabaey J, Sangiovanni-Vincentelli A, Wright P. Modelling and simulation techniques for highly integrated, low-power wireless sensor networks Iet Computers and Digital Techniques. 1: 528-536. DOI: 10.1049/Iet-Cdt:20050214  0.678
2006 Qin H, Vattikonda R, Trinh T, Cao Y, Rabaey J. SRAM Cell Optimization for Ultra-Low Power Standby Journal of Low Power Electronics. 2: 401-411. DOI: 10.1166/Jolpe.2006.097  0.63
2006 Petrović D, Ramchandran K, Rabaey J. Overcoming untuned radios in wireless networks with network coding Ieee Transactions On Information Theory. 52: 2649-2657. DOI: 10.1109/Tit.2006.874396  0.437
2006 Rabaey J, Ammer J, Otis B, Burghardt F, Chee YH, Pletcher N, Sheets M, Qin H. Ultra-low-power design Ieee Circuits and Devices Magazine. 22: 23-29. DOI: 10.1109/Mcd.2006.1708372  0.816
2006 Chee YH, Niknejad AM, Rabaey JM. An ultra-low-power injection locked transmitter for wireless sensor networks Ieee Journal of Solid-State Circuits. 41: 1740-1748. DOI: 10.1109/JSSC.2006.877254  0.77
2006 Karalar TC, Rabaey J. An RF ToF based ranging implementation for sensor networks Ieee International Conference On Communications. 7: 3347-3352. DOI: 10.1109/ICC.2006.255233  0.813
2006 Rabaey JM, Burchardt F, Chee YH, Chen D, De Nardis L, Gambini S, Guermandi D, Mark M, Pletcher N. Short distance wireless and its opportunities 2006 Ieee Dallas/Cas Workshop Ondesign, Applications, Integration and Software, Dcas-06. 1-8. DOI: 10.1109/DCAS.2006.321023  0.793
2006 Van Greunen J, Markovsky Y, Baker CR, Rabaey J, Wawrzynek J, Wolisz A. ZUMA: A platform for smart-home environments - The case for infrastructure Iet Conference Publications. 257-266. DOI: 10.1049/cp:20060650  0.782
2006 Baker CR, Markovsky Y, Van Greunen J, Rabaey J, Wawrzynek J, Wolisz A. ZUMA: A platform for smart-home environments Iet Conference Publications. 51-60. DOI: 10.1049/cp:20060624  0.781
2006 Sarrigeorgidis K, Rabaey J. A scalable configurable architecture for advanced wireless communication algorithms Journal of Vlsi Signal Processing Systems For Signal, Image, and Video Technology. 45: 1-25. DOI: 10.1007/s11265-006-9762-9  0.803
2006 Otis B, Pletcher N, Rai S, Burghardt F, Rabaey J. Circuits and technologies for wireless sensing Analog Circuit Design - High-Speed a-D Converters, Automotive Electronics and Ultra-Low Power Wireless, Aacd 2006. 285-301. DOI: 10.1007/1-4020-5186-7_14  0.832
2005 Zhong LC, Rabaey JM, Wolisz A. Does proper coding make single hop wireless sensor networks reality: The power consumption perspective Ieee Wireless Communications and Networking Conference, Wcnc. 2: 664-669. DOI: 10.1109/WCNC.2005.1424587  0.693
2005 Roundy S, Leland ES, Baker J, Carleton E, Reilly E, Lai E, Otis B, Rabaey JM, Wright PK, Sundararajan V. Improving power output for vibration-based energy scavengers Ieee Pervasive Computing. 4: 28-36. DOI: 10.1109/Mprv.2005.14  0.684
2005 Chee YH, Niknejad AM, Rabaey J. An ultra-low power injection locked transmitter for wireless sensor networks Proceedings of the Custom Integrated Circuits Conference. 2005: 792-795. DOI: 10.1109/Jssc.2006.877254  0.782
2005 Lin EYA, Rabaey JM, Wiethoelter S, Wolisz A. Receiver initiated rendezvous schemes for sensor networks Globecom - Ieee Global Telecommunications Conference. 5: 3117-3122. DOI: 10.1109/GLOCOM.2005.1578331  0.338
2005 Pletcher NM, Rabaey JM. A 100 μW, 1.9GHz oscillator with fully digital frequency timing Proceedings of Esscirc 2005: 31st European Solid-State Circuits Conference. 387-390. DOI: 10.1109/ESSCIR.2005.1541641  0.826
2005 Li Y, De Bernardinis F, Otis B, Rabaey JM, Vincentelli AS. A low-power mixed-signal baseband system design for wireless sensor networks Proceedings of the Custom Integrated Circuits Conference. 2005: 54-57. DOI: 10.1109/CICC.2005.1568606  0.682
2005 Qin H, Cao Y, Markovic D, Vladimirescu A, Rabaey J. Standby supply voltage minimization for deep sub-micron SRAM Microelectronics Journal. 36: 789-800. DOI: 10.1016/j.mejo.2005.03.003  0.539
2005 Van Greunen J, Rabaey J. Locationing and timing synchronization services in ambient intelligence networks Ambient Intelligence. 173-197. DOI: 10.1007/3-540-27139-2_9  0.787
2005 Ammer J, Burghardt F, Lin E, Otis B, Shah R, Sheets M, Rabaey JM. Ultra-low power integrated wireless nodes for sensor and actuator networks Ambient Intelligence. 301-325. DOI: 10.1007/3-540-27139-2_14  0.66
2004 Reason JM, Rabaey JM. A study of energy consumption and reliability in a multi-hop sensor network Acm Sigmobile Mobile Computing and Communications Review. 8: 84-97. DOI: 10.1145/980159.980170  0.39
2004 Karalar TC, Yamashita S, Sheets M, Rabaey J. An integrated, low power localization system for sensor networks Proceedings of Mobiquitous 2004 - 1st Annual International Conference On Mobile and Ubiquitous Systems: Networking and Services. 24-30. DOI: 10.1109/MOBIQ.2004.1331707  0.822
2004 Sarrigeorgidis K, Rabaey J. Ultra low power CORDIC processor for wireless communication algorithms Journal of Vlsi Signal Processing Systems For Signal, Image, and Video Technology. 38: 115-130. DOI: 10.1023/B:VLSI.0000040424.11334.34  0.815
2004 Otis BP, Chee YH, Lu R, Pletcher NM, Rabaey JM. An ultra-low power MEMS-based two-channel transceiver for wireless sensor networks Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 20-23.  0.825
2004 Zhong LC, Rabaey JM, Wolisz A. An integrated data-link energy model for wireless sensor networks Ieee International Conference On Communications. 7: 3777-3783.  0.656
2004 Lin EYA, Rabaey JM, Wolisz A. Power-efficient Rendez-vous schemes for dense wireless sensor networks Ieee International Conference On Communications. 7: 3769-3776.  0.323
2004 Qin H, Cao Y, Markovic D, Vladimirescu A, Rabaey J. SRAM leakage suppression by minimizing standby supply voltage Proceedings - 5th International Symposium On Quality Electronic Design, Isqued 2004. 55-60.  0.536
2003 Kubisch M, Karl H, Wolisz A, Zhong LC, Rabaey J. Distributed algorithms for transmission power control in wireless sensor networks Ieee Wireless Communications and Networking Conference, Wcnc. 1: 558-563. DOI: 10.1109/WCNC.2003.1200410  0.689
2003 Otis BP, Rabaey JM. A 300-μ W 1.9-GHz CMOS oscillator utilizing micromachined resonators Ieee Journal of Solid-State Circuits. 38: 1271-1274. DOI: 10.1109/Jssc.2003.813219  0.634
2003 Sarrigeorgidis K, Rabaey J. Massively parallel wireless reconfigurable processor architecture and programming Proceedings - International Parallel and Distributed Processing Symposium, Ipdps 2003. DOI: 10.1109/IPDPS.2003.1213313  0.804
2003 Roundy S, Wright PK, Rabaey J. A study of low level vibrations as a power source for wireless sensor nodes Computer Communications. 26: 1131-1144. DOI: 10.1016/S0140-3664(02)00248-7  0.438
2002 Willig A, Shah R, Rabaey J, Wolisz A. Altruists in the PicoRadio sensor network Ieee International Workshop On Factory Communication Systems - Proceedings, Wfcs. 2002: 175-184. DOI: 10.1109/WFCS.2002.1159715  0.31
2002 Otis BP, Rabaey JM. A 300μmW 1.9GHz CMOS oscillator utilizing micromachined resonators European Solid-State Circuits Conference. 151-154.  0.605
2002 Rabaey JM, Ammer J, Karalar T, Li S, Otis B, Sheets M, Tuan T. PicoRadios for wireless sensor networks: The next challenge in ultra-low power design Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 200-201+195.  0.828
2002 Rabaey JM, Ammer J, Karalar T, Li S, Otis B, Sheets M, Tuan T. PicoRadios for wireless sensor networks: The next challenge in ultra-low-power design Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 156-157+444.  0.828
2001 Da Silva JL, Shamberger J, Ammer MJ, Guo C, Li S, Shah R, Tuan T, Sheets M, Rabaey JM, Nikolic B, Sangiovanni-Vincentelli A, Wright P. Design methodology for PicoRadio networks Proceedings -Design, Automation and Test in Europe, Date. 314-323. DOI: 10.1109/DATE.2001.915043  0.784
2001 Wan M, Zhang H, George V, Benes M, Abnous A, Prabhu V, Rabaey J. Design methodology of a low-energy reconfigurable single-chip DSP system Journal of Vlsi Signal Processing Systems For Signal, Image, and Video Technology. 28: 47-61. DOI: 10.1023/A:1008159121620  0.803
2001 Guo C, Zhong LC, Rabaey JM. Low power distributed MAC for ad hoc sensor radio networks Conference Record / Ieee Global Telecommunications Conference. 5: 2944-2948.  0.723
2000 Rabaey JM. Low-power silicon architecture for wireless communications Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 377-380. DOI: 10.1145/368434.368691  0.312
2000 Zhang H, George V, Rabaey JM. Low-swing on-chip signaling techniques: effectiveness and robustness Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 8: 264-272. DOI: 10.1109/92.845893  0.601
2000 Keutzer K, Newton A, Rabaey J, Sangiovanni-Vincentelli A. System-level design: orthogonalization of concerns and platform-based design Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 19: 1523-1543. DOI: 10.1109/43.898830  0.341
2000 Zhang H, Prabhu V, George V, Wan M, Benes M, Abnous A, Rabaey JM. 1-V heterogeneous reconfigurable DSP IC for wireless baseband digital signal processing Ieee Journal of Solid-State Circuits. 35: 1697-1704. DOI: 10.1109/4.881217  0.818
2000 Rabaey JM, Ammer MJ, Da Silva JL, Patel D, Roundy S. PicoRadio supports ad hoc ultra-low power wireless networking Computer. 33: 42-48. DOI: 10.1109/2.869369  0.803
2000 Zhang H, Prabhu V, George V, Wan M, Benes M, Abnous A, Rabaey JM. A 1V heterogeneous reconfigurable processor IC for baseband wireless applications Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 68-69.  0.804
1998 Guerra LM, Potkonjak M, Rabaey JM. Behavioral-level synthesis of heterogeneous BISR reconfigurable ASIC's Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 6: 158-167. DOI: 10.1109/92.661258  0.308
1998 Rabaey JM, Gass W, Brodersen R, Nishitani T. VLSI design and implementation fuels the signal-processing revolution: The design and implementation of signal-processing systems technical committee Ieee Signal Processing Magazine. 15: 22-37. DOI: 10.1109/79.647040  0.567
1997 Srini VP, Pini D, Armstrong MD, Alalusi SH, Thendean J, Ueng SZ, Bushong DP, Borowski ES, Chao E, Rabaey JM. Architecture for web-based image processing Proceedings of Spie - the International Society For Optical Engineering. 3166: 90-101. DOI: 10.1117/12.279607  0.768
1997 Srini VP, Chow N, Sutton RA, Rabaey JM. MultiPADDI-2 board for image processing Proceedings of Spie - the International Society For Optical Engineering. 3166: 78-89. DOI: 10.1117/12.279606  0.633
1997 Mehra R, Guerra LM, Rabaey JM. A partitioning scheme for optimizing interconnect power Ieee Journal of Solid-State Circuits. 32: 433-443. DOI: 10.1109/4.557644  0.431
1996 Narayanaswamy S, Seshan S, Amir E, Brewer E, Brodersen RW, Burghardt F, Burstein A, Chang YC, Fox A, Gilbert JM, Han R, Katz RH, Long AC, Messerschmitt DG, Rabaey JM. Application and network support for infopad Ieee Personal Communications. 3: 4-17. DOI: 10.1109/98.490749  0.719
1996 Verbauwhede I, Scheers C, Rabaey JM. Analysis of multidimensional DSP specifications Ieee Transactions On Signal Processing. 44: 3169-3174. DOI: 10.1109/78.553544  0.525
1996 Landman P, Mehra R, Rabaey JM. An integrated CAD environment for low-power design Ieee Design and Test of Computers. 13: 72-82. DOI: 10.1109/54.500202  0.409
1996 Landman PE, Rabaey JM. Activity-sensitive architectural power analysis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 15: 571-587. DOI: 10.1109/43.503928  0.405
1996 Mehra R, Guerra LM, Rabaey JM. Low-power architectural synthesis and the impact of exploiting locality Journal of Vlsi Signal Processing Systems For Signal, Image, and Video Technology. 13: 239-258.  0.31
1995 Landman PE, Rabaey JM. Architectural Power Analysis: The Dual Bit Type Method Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 3: 173-187. DOI: 10.1109/92.386219  0.398
1995 Chandrakasan A, Potkonjak M, Mehra R, Rabaey J, Brodersen R. Optimizing power using transformations Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 14: 12-31. DOI: 10.1109/43.363126  0.707
1994 Rabaey JM, Potkonjak M. Estimating Implementation Bounds for Real Time DSP Application Specific Circuits Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 13: 669-683. DOI: 10.1109/43.285240  0.315
1993 Hoang PD, Rabaey JM. Scheduling of DSP Programs onto Multiprocessors for Maximum Throughput Ieee Transactions On Signal Processing. 41: 2225-2235. DOI: 10.1109/78.218149  0.334
1992 Chen DC, Rabaey JM. A Reconfigurable Multiprocessor IC for Rapid Prototyping of Algorithmic-Specific High-Speed DSP Data Paths Ieee Journal of Solid-State Circuits. 27: 1895-1904. DOI: 10.1109/4.173120  0.41
1991 Rabaey JM, Chu C, Hoang P, Potkonjak M. Fast Prototyping of Datapath-Intensive Architectures Ieee Design and Test of Computers. 8: 40-51. DOI: 10.1109/54.82037  0.342
1991 Shung CB, Jain R, Rimey K, Wang E, Azim SK, Thon L, Srivastava MB, Hilfinger PN, Richards BC, Rabaey JM, Lettang E, Brodersen RW. An Integrated CAD System for Algorithm-Specific IC Design Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 10: 447-463. DOI: 10.1109/43.75628  0.57
1991 Chen DC, Yu R, Rabaey J, Brodersen RW. A VLSI Grammar Processing Subsystem for a Real-Time Large-Vocabulary Continuous Speech Recognition System Ieee Journal of Solid-State Circuits. 26: 443-448. DOI: 10.1109/4.75034  0.548
1991 Stölzle A, Murveit H, Narayanaswamy S, Rabaey JM, Brodersen RW. Integrated Circuits for a Real-Time Large-Vocabulary Continuous Speech Recognition System Ieee Journal of Solid-State Circuits. 26: 2-11. DOI: 10.1109/4.65703  0.59
1989 Stölzle A, Narayanaswamy S, Kornegay K, Rabaey J, Brodersen RW. A VLSI wordprocessing subsystem for a real time large vocabulary continuous speech recognition system Proceedings of the Custom Integrated Circuits Conference. 20.7.1-20.7.5. DOI: 10.1109/CICC.1989.56799  0.45
1988 De Man H, Rabaey J, Vanhoof J, Goossens G, Six P, Claesen L. CATHEDRAL-II—a computer-aided synthesis system for digital signal processing VLSI systems Computer-Aided Engineering Journal. 5: 55. DOI: 10.1049/Cae.1988.0015  0.32
1986 Man HD, Rabaey J, Six P, Claesen L. Cathedral-II: A Silicon Compiler for Digital Signal Processing Ieee Design & Test of Computers. 3: 13-25. DOI: 10.1109/Mdt.1986.295047  0.323
1985 Rabaey JM, Pope SP, Brodersen RW. An Integrated Automated Layout Generation System for DSP Circuits Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 4: 285-296. DOI: 10.1109/Tcad.1985.1270124  0.606
1983 Vandewalle J, Rabaey J, Vercruysse W, Man HJD. Computer-aided distortion analysis of switched capacitor filters in the frequency domain Ieee Journal of Solid-State Circuits. 18: 324-333. DOI: 10.1109/Jssc.1983.1051947  0.307
1981 Vandewalle J, de Man H, Rabaey J. Time, frequency, and z-domain modified nodal analysis of switched-capacitor networks Ieee Transactions On Circuits and Systems. 28: 186-195. DOI: 10.1109/Tcs.1981.1084971  0.352
1981 Vandewalle J, De Man H, Rabaey J. The adjoint switched capacitor network and its application to frequency, noise and sensitivity analysis International Journal of Circuit Theory and Applications. 9: 77-88. DOI: 10.1002/Cta.4490090109  0.363
1980 De Man H, Rabaey J, Arnout G, Vandewalle J. Practical implementation of a general computer aided design technique for switched capacitor circuits Ieee Journal of Solid-State Circuits. 15: 190-200. DOI: 10.1109/Jssc.1980.1051362  0.318
Show low-probability matches.