Radu Marculescu - Publications

Affiliations: 
Electrical and Computer Engineering Carnegie Mellon University, Pittsburgh, PA 
Area:
Electronics and Electrical Engineering, Computer Engineering

120 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2019 Lo C, Marculescu R. MetaNN: accurate classification of host phenotypes from metagenomic data using neural networks. Bmc Bioinformatics. 20: 314. PMID 31216991 DOI: 10.1186/S12859-019-2833-2  0.324
2019 Joardar BK, Kim RG, Doppa JR, Pande PP, Marculescu D, Marculescu R. Learning-Based Application-Agnostic 3D NoC Design for Heterogeneous Manycore Systems Ieee Transactions On Computers. 68: 852-866. DOI: 10.1109/Tc.2018.2889053  0.748
2018 Topirceanu A, Udrescu M, Marculescu R. Weighted Betweenness Preferential Attachment: A New Mechanism Explaining Social Network Formation and Evolution. Scientific Reports. 8: 10871. PMID 30022079 DOI: 10.1038/S41598-018-29224-W  0.317
2018 Choi W, Duraisamy K, Kim RG, Doppa JR, Pande PP, Marculescu D, Marculescu R. On-Chip Communication Network for Efficient Training of Deep Convolutional Networks on Heterogeneous Manycore Systems Ieee Transactions On Computers. 67: 672-686. DOI: 10.1109/Tc.2017.2777863  0.743
2018 Kim RG, Doppa JR, Pande PP, Marculescu D, Marculescu R. Machine Learning and Manycore Systems Design: A Serendipitous Symbiosis Computer. 51: 66-77. DOI: 10.1109/Mc.2018.3011040  0.699
2017 Lo C, Marculescu R. MPLasso: Inferring microbial association networks using prior microbial knowledge. Plos Computational Biology. 13: e1005915. PMID 29281638 DOI: 10.1371/Journal.Pcbi.1005915  0.36
2017 Koorehdavoudi H, Bogdan P, Wei G, Marculescu R, Zhuang J, Carlsen RW, Sitti M. Multi-fractal characterization of bacterial swimming dynamics: a case study on real and simulated Serratia marcescens. Proceedings. Mathematical, Physical, and Engineering Sciences. 473: 20170154. PMID 28804259 DOI: 10.1098/Rspa.2017.0154  0.562
2017 Kim RG, Choi W, Chen Z, Doppa JR, Pande PP, Marculescu D, Marculescu R. Imitation Learning for Dynamic VFI Control in Large-Scale Manycore Systems Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 25: 2458-2471. DOI: 10.1109/Tvlsi.2017.2700726  0.741
2016 Topirceanu A, Udrescu M, Vladutiu M, Marculescu R. Tolerance-based interaction: A new model targeting opinion formation and diffusion in social networks Peerj. 2016. DOI: 10.7717/Peerj-Cs.42  0.314
2016 Qian Z, Bogdan P, Tsui CY, Marculescu R. Performance evaluation of NoC-based multicore systems: From traffic analysis to NoC latency modeling Acm Transactions On Design Automation of Electronic Systems. 21. DOI: 10.1145/2870633  0.639
2016 Kim RG, Choi W, Chen Z, Pande PP, Marculescu D, Marculescu R. Wireless NoC and Dynamic VFI Codesign: Energy Efficiency Without Performance Penalty Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. DOI: 10.1109/Tvlsi.2015.2512611  0.752
2016 Qian ZL, Juan DC, Bogdan P, Tsui CY, Marculescu D, Marculescu R. A Support Vector Regression (SVR)-based latency model for Network-on-Chip (NoC) architectures Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 35: 471-484. DOI: 10.1109/Tcad.2015.2474393  0.785
2016 Kim RG, Choi W, Liu G, Mohandesi E, Pande PP, Marculescu D, Marculescu R. Wireless NoC for VFI-Enabled Multicore Chip Design: Performance Evaluation and Design Trade-Offs Ieee Transactions On Computers. 65: 1323-1336. DOI: 10.1109/Tc.2015.2441721  0.766
2016 Blanton RD, Li X, Mai K, Marculescu D, Marculescu R, Paramesh J, Schneider J, Thomas DE. Statistical learning in chip (SLIC) 2015 Ieee/Acm International Conference On Computer-Aided Design, Iccad 2015. 664-669. DOI: 10.1109/ICCAD.2015.7372633  0.71
2016 Pande PP, Kim RG, Choi W, Chen Z, Marculescu D, Marculescu R. The (low) power of less wiring: Enabling energy efficiency in many-core platforms through wireless NoC 2015 Ieee/Acm International Conference On Computer-Aided Design, Iccad 2015. 165-169. DOI: 10.1109/ICCAD.2015.7372565  0.757
2015 Duraisamy K, Kim RG, Choi W, Liu G, Pande PP, Marculescu R, Marculescu D. Energy efficient MapReduce with VFI-enabled multicore platforms Proceedings - Design Automation Conference. 2015. DOI: 10.1145/2744769.2744835  0.725
2015 David R, Bogdan P, Marculescu R. Dynamic power management for multicores: Case study using the intel SCC Ieee/Ifip International Conference On Vlsi and System-On-Chip, Vlsi-Soc. 7: 147-152. DOI: 10.1109/VLSI-SoC.2012.7332092  0.566
2015 Xue Y, Qian Z, Wei G, Bogdan P, Tsui CY, Marculescu R. An efficient network-on-chip (NoC) based multicore platform for hierarchical parallel genetic algorithms Proceedings - 2014 8th Ieee/Acm International Symposium On Networks-On-Chip, Nocs 2014. 17-24. DOI: 10.1109/NOCS.2014.7008757  0.558
2015 Blanton RD, Li X, Mai K, Marculescu D, Marculescu R, Paramesh J, Schneider J, Thomas DE. SLIC: Statistical learning in chip Proceedings of the 14th International Symposium On Integrated Circuits, Isic 2014. 119-123. DOI: 10.1109/ISICIR.2014.7029574  0.65
2014 Zhuang J, Wei G, Wright Carlsen R, Edwards MR, Marculescu R, Bogdan P, Sitti M. Analytical modeling and experimental characterization of chemotaxis in Serratia marcescens. Physical Review. E, Statistical, Nonlinear, and Soft Matter Physics. 89: 052704. PMID 25353826 DOI: 10.1103/Physreve.89.052704  0.556
2014 Bogdan P, Deasy BM, Gharaibeh B, Roehrs T, Marculescu R. Heterogeneous structure of stem cells dynamics: statistical models and quantitative predictions. Scientific Reports. 4: 4826. PMID 24769917 DOI: 10.1038/Srep04826  0.556
2014 Matsutani H, Koibuchi M, Fujiwara I, Kagami T, Take Y, Kuroda T, Bogdan P, Marculescu R, Amano H. Low-latency wireless 3D NoCs via randomized shortcut chips Proceedings -Design, Automation and Test in Europe, Date. DOI: 10.7873/DATE2014.286  0.518
2014 Kim R, Liu G, Wettin P, Marculescu R, Marculescu D, Pande PP. Energy-efficient VFI-partitioned multicore design using wireless NoC architectures 2014 International Conference On Compilers, Architecture and Synthesis For Embedded Systems, Cases 2014. DOI: 10.1145/2656106.2656120  0.739
2014 Hollis SJ, Jackson C, Bogdan P, Marculescu R. Exploiting emergence in on-chip interconnects Ieee Transactions On Computers. 63: 570-582. DOI: 10.1109/Tc.2012.273  0.675
2014 Qian Z, Juan DC, Bogdan P, Tsui CY, Marculescu D, Marculescu R. A comprehensive and accurate latency model for Network-on-Chip performance analysis Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 323-328. DOI: 10.1109/ASPDAC.2014.6742910  0.763
2013 Bogdan P, Marculescu R, Jain S. Dynamic power management for multidomain system-on-chip platforms: An optimal control approach Acm Transactions On Design Automation of Electronic Systems. 18. DOI: 10.1145/2504904  0.664
2013 Bogdan P, Jain S, Marculescu R. Pacemaker control of heart rate variability: A cyber physical system perspective Transactions On Embedded Computing Systems. 12. DOI: 10.1145/2435227.2435246  0.579
2013 Ogras UY, Marculescu R. Analysis and optimization of prediction-based flow control in networks-on-chip Lecture Notes in Electrical Engineering. 184: 105-133. DOI: 10.1145/1297666.1297677  0.386
2013 Wei G, Bogdan P, Marculescu R. Bumpy rides: Modeling the dynamics of chemotactic interacting bacteria Ieee Journal On Selected Areas in Communications. 31: 879-890. DOI: 10.1109/Jsac.2013.Sup2.12130020  0.641
2013 Wei G, Bogdan P, Marculescu R. Efficient modeling and simulation of bacteria-based nanonetworks with BNSim Ieee Journal On Selected Areas in Communications. 31: 868-878. DOI: 10.1109/Jsac.2013.Sup2.12130019  0.625
2013 Qian Z, Bogdan P, Tsui CY, Marculescu R. Performance evaluation of multicore systems: From traffic analysis to latency predictions (Embedded tutorial) Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 82-84. DOI: 10.1109/ICCAD.2013.6691101  0.528
2013 Matsutani H, Bogdan P, Marculescu R, Take Y, Sasaki D, Zhang H, Koibuchi M, Kuroda T, Amano H. A case for wireless 3D NoCs for CMPs Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 23-28. DOI: 10.1109/ASPDAC.2013.6509553  0.598
2013 Ogras UY, Marculescu R. Design and management of VFI partitioned networks-on-chip Lecture Notes in Electrical Engineering. 184: 135-154. DOI: 10.1007/978-94-007-3958-1-8  0.343
2013 Garg S, Marculescu D, Marculescu R. Fundamental limits on run-time power management algorithms for MPSoCs Design Technologies For Green and Sustainable Computing Systems. 1-21. DOI: 10.1007/978-1-4614-4975-1_1  0.716
2013 Qian Z, Juan DC, Bogdan P, Tsui CY, Marculescu D, Marculescu R. SVR-NoC: A performance analysis tool for network-on-chips using learning-based support vector regression model Proceedings -Design, Automation and Test in Europe, Date. 354-357.  0.762
2012 Qian Z, Bogdan P, Wei G, Tsui CY, Marculescu R. A traffic-aware adaptive routing algorithm on a highly reconfigurable Network-on-Chip architecture Codes+Isss'12 - Proceedings of the 10th Acm International Conference On Hardware/Software-Codesign and System Synthesis, Co-Located With Esweek. 161-170. DOI: 10.1145/2380445.2380475  0.615
2012 Garg S, Marculescu D, Marculescu R. Technology-driven limits on runtime power management algorithms for multiprocessor systems-on-chip Acm Journal On Emerging Technologies in Computing Systems. 8. DOI: 10.1145/2367736.2367739  0.773
2012 Bogdan P, Marculescu R, Jain S, Gavila RT. An optimal control approach to power management for multi-voltage and frequency islands multiprocessor platforms under highly variable workloads Proceedings of the 2012 6th Ieee/Acm International Symposium On Networks-On-Chip, Nocs 2012. 35-42. DOI: 10.1109/NOCS.2012.32  0.63
2012 Bogdan P, Jain S, Goyal K, Marculescu R. Implantable pacemakers control and optimization via fractional calculus approaches: A cyber-physical systems perspective Proceedings - 2012 Ieee/Acm 3rd International Conference On Cyber-Physical Systems, Iccps 2012. 23-32. DOI: 10.1109/ICCPS.2012.11  0.551
2012 Bogdan P, Wei G, Marculescu R. Modeling populations of micro-robots for biological applications Ieee International Conference On Communications. 6188-6192. DOI: 10.1109/ICC.2012.6364979  0.536
2011 Wei G, Bogdan P, Marculescu R. A software framework for trace analysis targeting multicore platforms design Nocs 2011: the 5th Acm/Ieee International Symposium On Networks-On-Chip. 259-260. DOI: 10.1145/1999946.1999990  0.612
2011 David R, Bogdan P, Marculescu R, Ogras U. Dynamic power management of voltage-frequency island partitioned networks-on-chip using intel's sing-chip cloud computer Nocs 2011: the 5th Acm/Ieee International Symposium On Networks-On-Chip. 257-258. DOI: 10.1145/1999946.1999989  0.632
2011 Bogdan P, Marculescu R. Hitting time analysis for fault-tolerant communication at nanoscale in future multiprocessor platforms Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 30: 1197-1210. DOI: 10.1109/Tcad.2011.2138430  0.608
2011 Bogdan P, Marculescu R. Non-stationary traffic analysis and its implications on multicore platform design Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 30: 508-519. DOI: 10.1109/Tcad.2011.2111270  0.677
2011 Chou CL, Marculescu R, Ogras U, Chatterjee S, Kishinevsky M, Loukianov D. System interconnect design exploration for embedded MPSoCs International Workshop On System Level Interconnect Prediction, Slip. DOI: 10.1109/SLIP.2011.6135433  0.359
2011 Bogdan P, Marculescu R. Cyberphysical systems: Workload modeling and design optimization Ieee Design and Test of Computers. 28: 78-87. DOI: 10.1109/Mdt.2010.142  0.617
2011 Bogdan P, Marculescu R. Towards a science of cyber-physical systems design Proceedings - 2011 Ieee/Acm 2nd International Conference On Cyber-Physical Systems, Iccps 2011. 99-108. DOI: 10.1109/ICCPS.2011.14  0.585
2011 Bevilacqua C, Bogdan P, Marculescu R. Exploring congestion phase transitions in vehicular traffic via topology and driver behavior modeling 2011 Ieee Forum On Integrated and Sustainable Transportation Systems, Fists 2011. 115-121. DOI: 10.1109/FISTS.2011.5973652  0.523
2011 Bogdan P, Marculescu R. A fractional calculus approach to modeling fractal dynamic games Proceedings of the Ieee Conference On Decision and Control. 255-260. DOI: 10.1109/CDC.2011.6161323  0.548
2011 Palesi M, Kumar S, Marculescu R. Network-on-chip architectures and design methodologies Microprocessors and Microsystems. 35: 83-84. DOI: 10.1016/J.Micpro.2011.01.002  0.412
2011 Goossens K, Marculescu R. Special issue on networks-on-chips: Design flows and case studies Design Automation For Embedded Systems. 15: 87-88. DOI: 10.1007/S10617-011-9079-1  0.348
2011 Pande P, Clermidy F, Puschini D, Mansouri I, Bogdan P, Marculescu R, Ganguly A. Sustainability through massively integrated computing: Are we ready to break the energy efficiency wall for single-chip platforms? Proceedings -Design, Automation and Test in Europe, Date. 1656-1661.  0.606
2010 Marculescu R, Teuscher C, Pande PP. Unconventional fabrics, architectures, and models for future multi-core systems 2010 Ieee/Acm/Ifip International Conference On Hardware/Software Codesign and System Synthesis, Codes+Isss 2010. 327-328. DOI: 10.1145/1878961.1879017  0.359
2010 Bogdan P, Marculescu R. Workload characterization and its impact on multicore platform design 2010 Ieee/Acm/Ifip International Conference On Hardware/Software Codesign and System Synthesis, Codes+Isss 2010. 231-240. DOI: 10.1145/1878961.1879003  0.649
2010 Garg S, Marculescu D, Marculescu R. Custom Feedback Control: Enabling truly scalable on-chip power management for MPSoCs Proceedings of the International Symposium On Low Power Electronics and Design. 425-430. DOI: 10.1145/1840845.1840939  0.704
2010 Ogras UY, Bogdan P, Marculescu R. An analytical approach for network-on-chip performance analysis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 29: 2001-2013. DOI: 10.1109/Tcad.2010.2061613  0.666
2010 Chou CL, Marculescu R. Designing heterogeneous embedded network-on-chip platforms with users in mind Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 29: 1301-1314. DOI: 10.1109/Tcad.2010.2049045  0.388
2010 Marculescu R, Jantsch A. Guest editorial: Special section on the ACM/IEEE symposium on networks-on-chip 2009 Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 29: 853. DOI: 10.1109/Tcad.2010.2048595  0.356
2010 Chou CL, Marculescu R. Run-time task allocation considering user behavior in embedded multiprocessor networks-on-chip Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 29: 78-91. DOI: 10.1109/Tcad.2009.2034348  0.378
2010 Bogdan P, Kas M, Marculescu R, Mutlu O. QuaLe: A quantum-leap inspired model for non-stationary analysis of NoC traffic in chip multi-processors Nocs 2010 - the 4th Acm/Ieee International Symposium On Networks-On-Chip. 241-248. DOI: 10.1109/NOCS.2010.34  0.605
2010 Marculescu R. On-chip networks: Two sides of the same coin Ieee Design and Test of Computers. 27: 80. DOI: 10.1109/Mdt.2010.89  0.448
2010 Marculescu R, Teuscher C, Pande PP. Unconventional fabrics, architectures, and models for future multi-core systems 2010 Ieee/Acm/Ifip International Conference On Hardware/Software Codesign and System Synthesis, Codes+Isss 2010. 327-328.  0.359
2009 Bogdan P, Marculescu R. Statistical physics approaches for network-on-chip traffic characterization Embedded Systems Week 2009 - 7th Ieee/Acm International Conference On Hardware/Software-Co-Design and System Synthesis, Codes+Isss 2009. 461-469. DOI: 10.1145/1629435.1629498  0.627
2009 Ogras UY, Marculescu R, Marculescu D, Jung EG. Design and management of voltage-frequency island partitioned networks-on-chip Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 17: 330-341. DOI: 10.1109/Tvlsi.2008.2011229  0.758
2009 Marculescu R, Ogras UY, Peh LS, Jerger NE, Hoskote Y. Outstanding research problems in NoC design: System, microarchitecture, and circuit perspectives Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 28: 3-21. DOI: 10.1109/Tcad.2008.2010691  0.38
2009 Bogdan P, Marculescu R. Hitting time analysis for stochastic communication Lecture Notes of the Institute For Computer Sciences, Social-Informatics and Telecommunications Engineering. 3: 39-43. DOI: 10.1007/978-3-642-02427-6_8  0.544
2009 Garg S, Marculescu D, Marculescu R, Ogras U. Technology-driven limits on DVFS controllability of multiple voltage-frequency island designs: A system-level perspective Proceedings - Design Automation Conference. 818-821.  0.715
2008 Zamora NH, Hu X, Ogras UY, Marculescu R. Enabling multimedia using resource-constrained video processing techniques: A node-centric perspective Acm Transactions On Design Automation of Electronic Systems. 13. DOI: 10.1145/1297666.1297684  0.356
2008 Chou CL, Ogras UY, Marculescu R. Energy- and performance-aware incremental mapping for networks on chip with multiple voltage levels Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 27: 1866-1879. DOI: 10.1109/Tcad.2008.2003301  0.423
2008 Marculescu R. Introduction to the special section on networks-on-chip Ieee Transactions On Computers. 57: 1153-1155. DOI: 10.1109/Tc.2008.120  0.363
2008 Hoskote Y, Marculescu R, Peh LS. Guest editors' introduction: Tackling key problems in NoCs Ieee Design and Test of Computers. 25: 400-401. DOI: 10.1109/Mdt.2008.141  0.377
2008 Shanbhag NR, Mitra S, de Veciana G, Orshansky M, Marculescu R, Roychowdhury J, Jones D, Rabaey JM. The search for alternative computational paradigms Ieee Design and Test of Computers. 25: 334-343. DOI: 10.1109/Mdt.2008.113  0.33
2008 Ogras UY, Marculescu R, Marculescu D. Variation-adaptive feedback control for networks-on-chip with multiple clock domains Proceedings - Design Automation Conference. 614-619. DOI: 10.1109/DAC.2008.4555891  0.735
2007 Marculescu R, Bogdan P. The Chip is the network: Toward a science of network-on-chip design Foundations and Trends in Electronic Design Automation. 2: 371-461. DOI: 10.1561/1000000011  0.635
2007 Bogdan P, Dumitraş T, Marculescu R. Stochastic communication: A new paradigm for fault-tolerant networks-on-chip Vlsi Design. 2007. DOI: 10.1155/2007/95348  0.635
2007 Marculescu R, Nikolic B, Sangiovanni-Vincentelli A. "fresh air": The emerging landscape of design for networked embedded systems Codes+Isss 2007: International Conference On Hardware/Software Codesign and System Synthesis. 124. DOI: 10.1145/1289816.1289848  0.364
2007 Lee HG, Chang N, Ogras UY, Marculescu R. On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches Acm Transactions On Design Automation of Electronic Systems. 12. DOI: 10.1145/1255456.1255460  0.471
2007 Zamora NH, Hu X, Marculescu R. System-level performance/power analysis for platform-based design of multimedia applications Acm Transactions On Design Automation of Electronic Systems. 12. DOI: 10.1145/1188275.1188277  0.45
2007 Kao JC, Marculescu R. Minimizing eavesdropping risk by transmission power control in multihop wireless networks Ieee Transactions On Computers. 56: 1009-1023. DOI: 10.1109/Tc.2007.70759  0.303
2007 Grecu C, Ivanov A, Pande P, Jantsch A, Salminen E, Ogras U, Marculescu R. Towards open network-on-chip benchmarks Proceedings - Nocs 2007: First International Symposium On Networks-On-Chip. 205-212. DOI: 10.1109/NOCS.2007.44  0.332
2007 Ogras UY, Marculescu R, Lee HG, Choudhary P, Marculescu D, Kaufman M, Nelson P. Challenges and promising results in NoC prototyping using FPGAs Ieee Micro. 27: 86-95. DOI: 10.1109/Mm.2007.80  0.723
2007 Ogras UY, Marculescu R. Analytical router modeling for networks-on-chip performance analysis Proceedings -Design, Automation and Test in Europe, Date. 1096-1101. DOI: 10.1109/DATE.2007.364440  0.344
2007 Bogdan P, Marculescu R. Quantum-like effects in network-on-chip buffers behavior Proceedings - Design Automation Conference. 266-267. DOI: 10.1109/DAC.2007.375166  0.561
2007 Ogras UY, Marculescu R, Choudhary P, Marculescu D. Voltage-frequency island partitioning for GALS-based networks-on-chip Proceedings - Design Automation Conference. 110-115. DOI: 10.1109/DAC.2007.375135  0.742
2006 Lee HG, Ogras UY, Marculescu R, Chang N. Design space exploration and prototyping for on-chip multimedia applications Proceedings - Design Automation Conference. 137-142. DOI: 10.1145/1146909.1146949  0.36
2006 Marculescu R, Ogras UY, Zamora NH. Computation and communication refinement for multiprocessor SoC design: A system-level perspective Acm Transactions On Design Automation of Electronic Systems. 11: 564-592. DOI: 10.1145/1142980.1142983  0.333
2006 Ogras UY, Marculescu R. "It's a small world after all": NoC performance optimization via long-range link insertion Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 14: 693-706. DOI: 10.1109/TVLSI.2006.878263  0.328
2006 Hu J, Ogras UY, Marculescu R. System-level buffer allocation for application-specific networks-on-chip router design Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 2919-2933. DOI: 10.1109/Tcad.2006.882474  0.507
2006 Kao JC, Marculescu R. On optimization of E-textile systems using redundancy and energy-aware routing Ieee Transactions On Computers. 55: 745-756. DOI: 10.1109/Tc.2006.86  0.382
2006 Bogdan P, Marculescu R. A theoretical framework for on-chip stochastic communication analysis 2006 1st International Conference On Nano-Networks and Workshops, Nano-Net. DOI: 10.1109/NANONET.2006.346230  0.587
2006 Ogras UY, Marculescu R, Lee HG, Chang N. Communication architecture optimization: Making the shortest path shorter in regular networks-on-chip Proceedings -Design, Automation and Test in Europe, Date. 1.  0.312
2005 Hu J, Marculescu R. Energy- And performance-aware mapping for regular NoC architectures Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 24: 551-562. DOI: 10.1109/Tcad.2005.844106  0.493
2005 Ren Z, Krogh BH, Marculescu R. Hierarchical adaptive dynamic power management Ieee Transactions On Computers. 54: 409-420. DOI: 10.1109/Tc.2005.66  0.357
2005 Ogras UY, Marculescu R. Application-specific network-on-chip architecture customization via long-range link insertion Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 2005: 246-253. DOI: 10.1109/ICCAD.2005.1560072  0.321
2005 Ogras UY, Marculescu R. Energy- and performance-driven NoC communication architecture synthesis using a decomposition approach Proceedings -Design, Automation and Test in Europe, Date '05. 352-357. DOI: 10.1109/DATE.2005.137  0.312
2005 Ogras UY, Hu J, Marculescu R. Communication-centric SoC design for nanoscale domain Proceedings of the International Conference On Application-Specific Systems, Architectures and Processors. 73-78.  0.301
2004 Varatkar GV, Marculescu R. On-Chip Traffic Modeling and Synthesis for MPEG-2 Video Applications Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 12: 108-119. DOI: 10.1109/Tvlsi.2003.820523  0.412
2004 Marculescu R, Eles P. Designing real-time embedded multimedia systems Ieee Design and Test of Computers. 21: 354-356. DOI: 10.1109/Mdt.2004.63  0.323
2004 Marculescu R, Pedram M, Henkel J. Distributed multimedia system design: A holistic perspective Proceedings - Design, Automation and Test in Europe Conference and Exhibition. 2: 1342-1347. DOI: 10.1109/DATE.2004.1269081  0.33
2004 Hu J, Marculescu R. Application-specific buffer space allocation for networks-on-chip router design Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 354-361.  0.321
2004 Marculescu R, Marculescu D, Pileggi L. Toward an integrated design methodology for fault-tolerant, multiple clock/voltage integrated systems Proceedings - Ieee International Conference On Computer Design: Vlsi in Computers and Processors. 168-173.  0.719
2004 Dumitras T, Kerner S, Marculescu R. Enabling on-chip diversity through architectural communication design Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 800-806.  0.333
2004 Hu X, Ogras UY, Zamora NH, Marculescu R. Data partitioning techniques for pervasive multimedia platforms 2004 Ieee International Conference On Multimedia and Expo (Icme). 2: 1035-1038.  0.305
2003 Stanley-Marbell P, Marculescu D, Marculescu R, Khosla PK. Modeling, analysis, and self-management of electronic textiles Ieee Transactions On Computers. 52: 996-1010. DOI: 10.1109/Tc.2003.1223635  0.726
2003 Marculescu D, Marculescu R, Park S, Jayaraman S. Ready to Ware Ieee Spectrum. 40: 28-32. DOI: 10.1109/Mspec.2003.1235622  0.668
2003 Marculescu D, Marculescu R, Zamora NH, Stanley-Marbell P, Khosla PK, Park S, Jayaraman S, Jung S, Lauterbach C, Weber W, Kirstein T, Cottet D, Grzyb J, Tröster G, Jones M, et al. Electronic textiles: A platform for pervasive computing Proceedings of the Ieee. 91: 1995-2016. DOI: 10.1109/JPROC.2003.819612  0.647
2003 Lindwer M, Marculescu D, Basten T, Zimmennann R, Marculescu R, Jung S, Cantatore E. Ambient intelligence visions and achievements: Linking abstract ideas to real-world concepts Proceedings -Design, Automation and Test in Europe, Date. 10-15. DOI: 10.1109/DATE.2003.1253580  0.687
2003 Marculescu D, Zamora NH, Stanley-Marbell P, Marculescu R. Fault-Tolerant Techniques for Ambient Intelligent Distributed Systems Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers. 348-355.  0.687
2002 Marculescu R, Marculescu D. Does Q = MC2? (On the relationship between Quality in electronic design and the Model of Colloidal Computing) Proceedings - International Symposium On Quality Electronic Design, Isqed. 2002: 451-457. DOI: 10.1109/ISQED.2002.996787  0.706
2002 Varatkar G, Marculescu R. Traffic analysis for on-chip networks design of multimedia applications Proceedings - Design Automation Conference. 795-800.  0.304
2002 Marculescu D, Marculescu R, Khosla PK. Challenges and opportunities in electronic textiles modeling and optimization Proceedings - Design Automation Conference. 175-180.  0.656
2001 Marculescu R, Nandi A. Probabilistic application modeling for system-level performance analysis Proceedings -Design, Automation and Test in Europe, Date. 572-579. DOI: 10.1109/DATE.2001.915081  0.316
2001 Nandi A, Marculescu R. System-level power/performance analysis for embedded systems design Proceedings - Design Automation Conference. 599-604.  0.331
2001 Marculescu R, Nandi A, Lavagno L, Sangiovanni-Vincentelli A. System-level power/performance analysis of portable multimedia systems communicating over wireless channels Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers. 207-214.  0.314
2000 Marculescu D, Marculescu R, Pedram M. Stochastic sequential machine synthesis with application to constrained sequence generation Acm Transactions On Design Automation of Electronic Systems. 5: 658-681. DOI: 10.1145/348019.348566  0.7
2000 Marculescu D, Marculescu R, Pedram M. Theoretical bounds for switching activity analysis in finite-state machines Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 8: 335-339. DOI: 10.1109/92.845899  0.686
1999 Marculescu R, Marculescu D. Sequence compaction for power estimation: theory and practice Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 18: 973-993. DOI: 10.1109/43.771179  0.723
1998 Marculescu D, Marculescu R, Pedram M. Trace-driven steady-state probability estimation in FSMs with application to power estimation Proceedings -Design, Automation and Test in Europe, Date. 774-779. DOI: 10.1109/DATE.1998.655946  0.679
1998 Marculescu R, Marculescu D, Pedram M. Probabilistic modeling of dependencies during switching activity analysis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 17: 73-83. DOI: 10.1109/43.681258  0.701
1996 Marculescu D, Marculescu R, Pedram M. Information theoretic measures for power analysis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 15: 599-610. DOI: 10.1109/43.503930  0.701
Show low-probability matches.