Ryan L. Burns, Ph.D. - Publications

Affiliations: 
2002 University of Texas at Austin, Austin, Texas, U.S.A. 
Area:
design and synthesis of functional organic materials

16 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2019 Zhang Y, D'Ambra CA, Katsumata R, Burns RL, Somervell M, Segalman RA, Hawker CJ, Bates CM. Rapid and Selective Deposition of Patterned Thin Films on Heterogeneous Substrates via Spin Coating. Acs Applied Materials & Interfaces. PMID 31117458 DOI: 10.1021/Acsami.9B05190  0.714
2019 Zhang Y, Discekici EH, Burns RL, Somervell MH, Hawker CJ, Bates CM. Single-Step, Spin-on Process for High Fidelity and Selective Deposition Acs Applied Polymer Materials. 2: 481-486. DOI: 10.1021/acsapm.9b00914  0.614
2017 Mohanty N, Smith JT, Huli L, Pereira C, Raley A, Kal S, Fonseca C, Sun X, Burns RL, Farrell RA, Hetzer DR, Metz AW, Ko A, Scheer SA, Biolsi P, et al. EPE improvement thru self-alignment via multi-color material integration Proceedings of Spie. 10147: 1014704. DOI: 10.1117/12.2258108  0.348
2008 Abdo A, Stobert I, Viswanathan R, Burns R, Herold K, Kallingal C, Meiring J, Oberschmidt J, Mansfield S. The comparison of OPC performance and run time for dense versus sparse solutions Proceedings of Spie - the International Society For Optical Engineering. 6924. DOI: 10.1117/12.772902  0.71
2005 Johnson S, Burns R, Kim EK, Dickey M, Schmid G, Meiring J, Burns S, Willson CG, Convey D, Wei Y, Fejes P, Gehoski K, Mancini D, Nordquist K, Dauksher WJ, et al. Effects of etch barrier densification on step and flash imprint lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 23: 2553-2556. DOI: 10.1116/1.2102971  0.706
2005 Dickey MD, Burns RL, Kim EK, Johnson SC, Stacey NA, Willson CG. Study of the kinetics of step and flash imprint lithography photopolymerization Aiche Journal. 51: 2547-2555. DOI: 10.1002/Aic.10477  0.705
2004 Johnson S, Burns R, Kim EK, Schmid G, Dickey M, Meiring J, Burns S, Stacey N, Willson CG, Convey D, Wei Y, Fejes P, Gehoski K, Mancini D, Nordquist K, et al. Step and Flash Imprint Lithography modeling and process development Journal of Photopolymer Science and Technology. 17: 417-419. DOI: 10.2494/Photopolymer.17.417  0.705
2004 Skordas S, Burns RL, Goldfarb DL, Burns SD, Angelopoulos M, Brodsky CJ, Lawson MC, Pillette C, Bright JJ, Isaacson RL, Lagus ME, Vishnu V. Rinse additives for defect suppression in 193 nm and 248 nm lithography Proceedings of Spie - the International Society For Optical Engineering. 5376: 471-481. DOI: 10.1117/12.537764  0.725
2004 Goldfarb DL, Burns SD, Burns RL, Brodsky CJ, Lawson MC, Angelopoulos M. Rinse additives for line edge roughness control in 193 nm lithography Proceedings of Spie - the International Society For Optical Engineering. 5376: 343-351. DOI: 10.1117/12.537723  0.725
2004 Burns RL, Johnson SC, Schmid GM, Kim EK, Dickey MD, Meiring J, Burns SD, Stacey NA, Willson CG, Convey D, Wei Y, Fejes P, Gehoski K, Mancini D, Nordquist K, et al. Mesoscale modeling for SFIL simulating polymerization kinetics and densification Proceedings of Spie - the International Society For Optical Engineering. 5374: 348-360. DOI: 10.1117/12.536216  0.705
2004 Burns RL, Steel KM, Burns SD, Koros WJ. Explanation of a selectivity maximum, as a function of the material structure for organic gas separation membranes Industrial and Engineering Chemistry Research. 43: 5942-5949. DOI: 10.1021/Ie049800Z  0.734
2003 Burns S, Schmid G, Trinque B, Willson J, Wunderlich J, Tsiartas P, Taylor JC, Burns R, Willson CG. A fundamental study of photoresist dissolution with real time spectroscopic ellipsometry and interferometry Proceedings of Spie - the International Society For Optical Engineering. 5039: 1063-1075. DOI: 10.1117/12.485182  0.715
2003 Burns RL, Koros WJ. Structure-property relationships for poly(pyrrolone-imide) gas separation membranes Macromolecules. 36: 2374-2381. DOI: 10.1021/Ma0259261  0.471
2003 Burns RL, Koros WJ. Defining the challenges for C3H6/C3H8 separation using polymeric membranes Journal of Membrane Science. 211: 299-309. DOI: 10.1016/S0376-7388(02)00430-1  0.433
2002 Burns RL, Punsalan D, Towidjaja MC, Koros WJ. Strategies for purging the pellicle space for 157 nm lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 1954-1960. DOI: 10.1116/1.1502703  0.702
2002 Mahajan R, Burns R, Schaeffer M, Koros WJ. Challenges in forming successful mixed matrix membranes with rigid polymeric materials Journal of Applied Polymer Science. 86: 881-890. DOI: 10.1002/App.10998  0.6
Show low-probability matches.