Gregory K. Chen, Ph.D. - Publications

Affiliations: 
2011 University of Michigan, Ann Arbor, Ann Arbor, MI 
Area:
Computer Engineering, Electronics and Electrical Engineering, Energy

21 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Kumar R, Suresh V, Kar M, Satpathy S, Anders MA, Kaul H, Agarwal A, Hsu S, Chen GK, Krishnamurthy RK, De V, Mathew SK. A 4900-$\mu$ m2 839-Mb/s Side-Channel Attack- Resistant AES-128 in 14-nm CMOS With Heterogeneous Sboxes, Linear Masked MixColumns, and Dual-Rail Key Addition Ieee Journal of Solid-State Circuits. 55: 945-955. DOI: 10.1109/Jssc.2019.2960482  0.651
2019 Chen GK, Kumar R, Sumbul HE, Knag PC, Krishnamurthy RK. A 4096-Neuron 1M-Synapse 3.8-pJ/SOP Spiking Neural Network With On-Chip STDP Learning and Sparse Weights in 10-nm FinFET CMOS Ieee Journal of Solid-State Circuits. 54: 992-1002. DOI: 10.1109/Jssc.2018.2884901  0.359
2017 Satpathy S, Mathew SK, Suresh V, Anders MA, Kaul H, Agarwal A, Hsu SK, Chen G, Krishnamurthy RK, De VK. A 4-fJ/b Delay-Hardened Physically Unclonable Function Circuit With Selective Bit Destabilization in 14-nm Trigate CMOS Ieee Journal of Solid-State Circuits. 52: 940-949. DOI: 10.1109/Jssc.2016.2636859  0.621
2016 Mathew SK, Johnston D, Satpathy S, Suresh V, Newman P, Anders MA, Kaul H, Agarwal A, Hsu SK, Chen G, Krishnamurthy RK. μrNG: A 300-950 mV, 323 Gbps/W All-Digital Full-Entropy True Random Number Generator in 14 nm FinFET CMOS Ieee Journal of Solid-State Circuits. 51: 1695-1704. DOI: 10.1109/Jssc.2016.2558490  0.674
2015 Rusu S, Chen G. Introduction to the Special Section on the 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC) Ieee Journal of Solid-State Circuits. 50: 2472-2474. DOI: 10.1109/Jssc.2015.2481659  0.337
2015 Mathew S, Satpathy S, Suresh V, Anders M, Kaul H, Agarwal A, Hsu S, Chen G, Krishnamurthy R. 340 mV-1.1 V, 289 Gbps/W, 2090-Gate NanoAES Hardware Accelerator With Area-Optimized Encrypt/Decrypt GF(24)2 Polynomials in 22 nm Tri-Gate CMOS Ieee Journal of Solid-State Circuits. 50: 1048-1058. DOI: 10.1109/Jssc.2014.2384039  0.669
2014 Mathew SK, Satpathy SK, Anders MA, Kaul H, Hsu SK, Agarwal A, Chen GK, Parker RJ, Krishnamurthy RK, De V. 16.2 A 0.19pJ/b PVT-variation-tolerant hybrid physically unclonable function circuit for 100% stable secure key generation in 22nm CMOS Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 57: 278-279. DOI: 10.1109/ISSCC.2014.6757433  0.52
2013 Dreslinski RG, Fick D, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Sylvester D, Blaauw D, Mudge T. Centip3De: A many-core prototype exploring 3d integration and near-threshold computing Communications of the Acm. 56: 97-104. DOI: 10.1145/2524713.2524725  0.756
2013 Ghaed MH, Chen G, Haque RU, Wieckowski M, Kim Y, Kim G, Lee Y, Lee I, Fick D, Kim D, Seok M, Wise KD, Blaauw D, Sylvester D. Circuits for a cubic-millimeter energy-autonomous wireless intraocular pressure monitor Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 3152-3162. DOI: 10.1109/Tcsi.2013.2265973  0.689
2013 Dreslinski RG, Fick D, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Sylvester D, Blaauw D, Mudge T. Centip3De: A 64-Core, 3D stacked near-threshold system Ieee Micro. 33: 8-16. DOI: 10.1109/Mm.2013.4  0.753
2013 Fojtik M, Kim D, Chen G, Lin YS, Fick D, Park J, Seok M, Chen MT, Foo Z, Blaauw D, Sylvester D. A millimeter-scale energy-autonomous sensor system with stacked battery and solar cells Ieee Journal of Solid-State Circuits. 48: 801-813. DOI: 10.1109/Jssc.2012.2233352  0.752
2013 Fick D, Dreslinski RG, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Mudge T, Blaauw D, Sylvester D. Centip3De: A cluster-based NTC architecture with 64 ARM cortex-M3 cores in 3D stacked 130 nm CMOS Ieee Journal of Solid-State Circuits. 48: 104-117. DOI: 10.1109/Jssc.2012.2222814  0.75
2012 Ghaed MH, Ghahramani MM, Chen G, Fotjik M, Flynn DBMP, Sylvester D. Low power wireless sensor networks for infrastructure monitoring Proceedings of Spie - the International Society For Optical Engineering. 8347. DOI: 10.1117/12.916301  0.758
2012 DeOrio A, Fick D, Bertacco V, Sylvester D, Blaauw D, Hu J, Chen G. A Reliable Routing Architecture and Algorithm for NoCs Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 31: 726-739. DOI: 10.1109/Tcad.2011.2181509  0.727
2011 Seok M, Chen G, Hanson S, Wieckowski M, Blaauw D, Sylvester D. CAS-FEST 2010: Mitigating variability in near-threshold computing Ieee Journal On Emerging and Selected Topics in Circuits and Systems. 1: 42-49. DOI: 10.1109/Jetcas.2011.2135550  0.73
2011 Wieckowski M, Chen GK, Kim D, Blaauw D, Sylvester D. A 128kb high density portless SRAM using hierarchical bitlines and thyristor sense amplifiers Proceedings of the 12th International Symposium On Quality Electronic Design, Isqed 2011. 87-90. DOI: 10.1109/ISQED.2011.5770708  0.378
2010 Chen G, Sylvester D, Blaauw D, Mudge T. Yield-driven near-threshold SRAM design Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 18: 1590-1598. DOI: 10.1109/Tvlsi.2009.2025766  0.629
2010 Seok M, Hanson S, Wieckowski M, Chen GK, Lin YS, Blaauw D, Sylvester D. Circuit design advances to enable ubiquitous sensing environments Iscas 2010 - 2010 Ieee International Symposium On Circuits and Systems: Nano-Bio Circuit Fabrics and Systems. 285-288. DOI: 10.1109/ISCAS.2010.5537867  0.407
2009 Wieckowski M, Chen GK, Seok M, Blaauw D, Sylvester D. Hybrid DC-DC converter for sub-microwatt sub-1V implantable applications Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 166-167.  0.302
2008 Dreslinski RG, Chen GK, Mudge T, Blaauw D, Sylvester D, Flautner K. Reconfigurable energy efficient near threshold cache architectures Proceedings of the Annual International Symposium On Microarchitecture, Micro. 459-470. DOI: 10.1109/MICRO.2008.4771813  0.384
2007 Chen GK, Blaauw D, Mudge T, Sylvester D, Kim NS. Yield-driven near-threshold SRAM design Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 660-666. DOI: 10.1109/ICCAD.2007.4397341  0.322
Show low-probability matches.