Florian G. Weilnboeck, Ph.D. - Publications

Affiliations: 
2011 Material Science and Engineering University of Maryland, College Park, College Park, MD 

21 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2016 Metzler D, Weilnboeck F, Engelmann S, Bruce RL, Oehrlein GS. He plasma pretreatment of organic masking materials for performance improvement during pattern transfer by plasma etching Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. 34. DOI: 10.1116/1.4949274  0.813
2015 Metzler D, Weilnboeck F, Hernández SC, Walton SG, Bruce RL, Engelmann S, Salamanca-Riba L, Oehrlein GS. Formation of nanometer-thick delaminated amorphous carbon layer by two-step plasma processing of methacrylate-based polymer Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. 33. DOI: 10.1116/1.4928493  0.834
2012 Weilnboeck F, Bartis E, Shachar S, Oehrlein GS, Farber D, Lii T, Lenox C. Differences in erosion mechanism and selectivity between Ti and TiN in fluorocarbon plasmas for dielectric etch Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 30. DOI: 10.1116/1.4736979  0.802
2012 Weilnboeck F, Kumar N, Oehrlein GS, Chung T, Graves D, Li M, Hudson EA, Benck EC. Real-time measurements of plasma photoresist modifications: The role of plasma vacuum ultraviolet radiation and ions Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 30: 031807. DOI: 10.1116/1.3697752  0.709
2012 Weilnboeck F, Bartis E, Shachar S, Oehrlein GS, Farber D, Lii T, Lenox C. Study of Ti etching and selectivity mechanism in fluorocarbon plasmas for dielectric etch Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 30. DOI: 10.1116/1.3690643  0.794
2011 Bruce RL, Weilnboeck F, Lin T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG, Alizadeh A. On the absence of post-plasma etch surface and line edge roughness in vinylpyridine resists Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 29. DOI: 10.1116/1.3607604  0.841
2011 Weilnboeck F, Metzler D, Kumar N, Oehrlein GS, Bruce RL, Engelmann S, Fuller N. Characterization and mechanism of He plasma pretreatment of nanoscale polymer masks for improved pattern transfer fidelity Applied Physics Letters. 99. DOI: 10.1063/1.3671995  0.84
2011 Chung TY, Graves DB, Weilnboeck F, Bruce RL, Oehrlein GS, Li M, Hudson EA. Ion and vacuum ultraviolet photon beam effects in 193nm photoresist surface roughening: The role of the adamantyl pendant group Plasma Processes and Polymers. 8: 1068-1079. DOI: 10.1002/Ppap.201100071  0.825
2011 Zhang X, Metting CJ, Briber RM, Weilnboeck F, Shin SH, Jones BG, Oehrlein GS. Poly(2-vinylnaphthalene)-block-poly(acrylic acid) block copolymer: Self-assembled pattern formation, alignment, and transfer into silicon via plasma etching Macromolecular Chemistry and Physics. 212: 1735-1741. DOI: 10.1002/Macp.201100232  0.603
2010 Weilnboeck F, Bruce RL, Engelmann S, Oehrlein GS, Nest D, Chung TY, Graves D, Li M, Wang D, Andes C, Hudson EA. Photoresist modifications by plasma vacuum ultraviolet radiation: The role of polymer structure and plasma chemistry Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: 993-1004. DOI: 10.1116/1.3484249  0.852
2010 Weilnboeck F, Fox-Lyon N, Oehrlein GS, Doerner RP. Real-time and post-plasma studies of influence of low levels of tungsten on carbon erosion and surface evolution behaviour in D2 plasma Nuclear Fusion. 50. DOI: 10.1088/0029-5515/50/2/025027  0.729
2010 Chung TY, Nest D, Graves DB, Weilnboeck F, Bruce RL, Oehrlein GS, Wang D, Li M, Hudson EA. Electron, ion and vacuum ultraviolet photon effects in 193 nm photoresist surface roughening Journal of Physics D: Applied Physics. 43. DOI: 10.1088/0022-3727/43/27/272001  0.798
2010 Bruce RL, Weilnboeck F, Lin T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG, Vegh JJ, Nest D, Graves DB. Relationship between nanoscale roughness and ion-damaged layer in argon plasma exposed polystyrene films Journal of Applied Physics. 107. DOI: 10.1063/1.3373587  0.846
2009 Engelmann S, Bruce RL, Weilnboeck F, Sumiya M, Kwon T, Phaneuf R, Oehrlein GS, Andes C, Graves D, Nest D, Hudson EA. Dependence of photoresist surface modifications during plasma-based pattern transfer on choice of feedgas composition: Comparison of C4 F 8 - And CF4 -based discharges Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 27: 1165-1179. DOI: 10.1116/1.3137012  0.862
2009 Pal AR, Bruce RL, Weilnboeck F, Engelmann S, Lin T, Kuo MS, Phaneuf R, Oehrlein GS. Real-time studies of surface roughness development and reticulation mechanism of advanced photoresist materials during plasma processing Journal of Applied Physics. 105. DOI: 10.1063/1.3055268  0.852
2009 Nest D, Chung TY, Graves DB, Engelmann S, Bruce RL, Weilnboeck F, Oehrlein GS, Wang D, Andes C, Hudson EA. Understanding the roughening and degradation of 193 nm photoresist during plasma processing: synergistic roles of vacuum ultraviolet radiation and ion bombardment Plasma Processes and Polymers. 6: 649-657. DOI: 10.1002/Ppap.200900039  0.854
2009 Engelmann S, Bruce RL, Weilnboeck F, Oehrlein GS, Nest D, Graves DB, Andes C, Hudson EA. Dependence of polymer surface roughening rate on deposited energy density during plasma processing Plasma Processes and Polymers. 6: 484-489. DOI: 10.1002/Ppap.200900004  0.83
2008 Sumiya M, Bruce R, Engelmann S, Weilnboeck F, Oehrlein GS. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposure III. Effect of fluorocarbon film and initial surface condition on photoresist degradation Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1978-1986. DOI: 10.1116/1.3021037  0.859
2008 Sumiya M, Bruce R, Engelmann S, Weilnboeck F, Oehrlein GS. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposures. II. Plasma parameter trends for photoresist degradation Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1647-1653. DOI: 10.1116/1.2960563  0.863
2008 Sumiya M, Bruce R, Engelmann S, Weilnboeck F, Oehrlein GS. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposure. I. Studies of modified layer formation Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1637-1646. DOI: 10.1116/1.2960561  0.862
2008 Nest D, Graves DB, Engelmann S, Bruce RL, Weilnboeck F, Oehrlein GS, Andes C, Hudson EA. Synergistic effects of vacuum ultraviolet radiation, ion bombardment, and heating in 193 nm photoresist roughening and degradation Applied Physics Letters. 92. DOI: 10.1063/1.2912028  0.822
Show low-probability matches.