Eric A. Joseph - Publications

Affiliations: 
2000 State University of New York, Albany, Albany, NY, United States 

46 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2021 Walton SG, Boris DR, Rosenberg SG, Miyazoe H, Joseph EA, Engelmann SU. Etching with electron beam-generated plasmas: Selectivity versus ion energy in silicon-based films Journal of Vacuum Science & Technology A. 39: 033002. DOI: 10.1116/6.0000868  0.313
2020 Pranda A, Lin K, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Significance of plasma-photoresist interactions for atomic layer etching processes with extreme ultraviolet photoresist Journal of Vacuum Science and Technology. 38: 52601. DOI: 10.1116/6.0000289  0.776
2020 Collins J, de Souza JP, Lee YS, Pacquette A, Papalia JM, Bishop DM, Todorov T, Krishnan M, Joseph E, Rozen J, Sadana D. Fundamentals, impedance, and performance of solid-state Li-metal microbatteries Journal of Vacuum Science & Technology A. 38: 033212. DOI: 10.1116/6.0000097  0.328
2020 Lin K, Li C, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Selective atomic layer etching of HfO2 over silicon by precursor and substrate-dependent selective deposition Journal of Vacuum Science & Technology A. 38: 032601. DOI: 10.1116/1.5143247  0.803
2018 Lin K, Li C, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Achieving ultrahigh etching selectivity of SiO2 over Si3N4 and Si in atomic layer etching by exploiting chemistry of complex hydrofluorocarbon precursors Journal of Vacuum Science & Technology A. 36: 040601. DOI: 10.1116/1.5035291  0.802
2018 Marchack N, Miyazoe H, Bruce RL, Tsai H, Nakamura M, Suzuki T, Ito A, Matsumoto H, Engelmann SU, Joseph EA. Nitride etching with hydrofluorocarbons. II. Evaluation of C4H9F for tight pitch Si3N4 patterning applications Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 36: 031801. DOI: 10.1116/1.5020069  0.777
2018 Miyazoe H, Marchack N, Bruce RL, Zhu Y, Nakamura M, Miller E, Kanakasabapathy S, Suzuki T, Ito A, Matsumoto H, Engelmann SU, Joseph EA. Nitride etching with hydrofluorocarbons III: Comparison of C4H9F and CH3F for low-k′ nitride spacer etch processes Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 36: 032201. DOI: 10.1116/1.5019016  0.807
2017 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma. The Journal of Chemical Physics. 146: 052801. PMID 28178847 DOI: 10.1063/1.4961458  0.85
2017 Wang C, Nam SW, Cotte JM, Jahnes CV, Colgan EG, Bruce RL, Brink M, Lofaro MF, Patel JV, Gignac LM, Joseph EA, Rao SP, Stolovitzky G, Polonsky S, Lin Q. Wafer-scale integration of sacrificial nanofluidic chips for detecting and manipulating single DNA molecules. Nature Communications. 8: 14243. PMID 28112157 DOI: 10.1038/Ncomms14243  0.67
2017 Engelmann SU, Bruce RL, Joseph EA, Fuller NCM, Graham WS, Sikorski EM, Kohjasteh M, Zhu Y, Nakamura M, Ito A, Matsumoto H, Matsuura G, Suzuki T. Nitride etching with hydrofluorocarbons. I. Selective etching of nitride over silicon and oxide materials by gas discharge optimization and selective deposition of fluorocarbon polymer Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 35: 051803. DOI: 10.1116/1.5003824  0.819
2017 Marchack N, Papalia JM, Engelmann S, Joseph EA. Cyclic Cl2/H2 quasi-atomic layer etching approach for TiN and TaN patterning using organic masks Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 35: 05C314. DOI: 10.1116/1.4995413  0.751
2017 Miyazoe H, Jagtiani AV, Tsai H, Engelmann SU, Joseph EA. Highly selective dry etching of polystyrene-poly(methyl methacrylate) block copolymer by gas pulsing carbon monoxide-based plasmas Journal of Physics D: Applied Physics. 50: 204001. DOI: 10.1088/1361-6463/Aa68C6  0.733
2017 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma Journal of Chemical Physics. 146. DOI: 10.1063/1.4961458  0.859
2017 Walton S, Boris D, Hernández S, Lock E, Petrova TB, Petrov G, Jagtiani A, Engelmann S, Miyazoe H, Joseph E. Electron beam generated plasmas: Characteristics and etching of silicon nitride Microelectronic Engineering. 168: 89-96. DOI: 10.1016/J.Mee.2016.11.003  0.723
2016 Papalia J, Marchack N, Bruce R, Miyazoe H, Engelmann S, Joseph EA. Applications for surface engineering using atomic layer Etching Solid State Phenomena. 255: 41-48. DOI: 10.4028/Www.Scientific.Net/Ssp.255.41  0.842
2016 Tsai H, Miyazoe H, Vora A, Magbitang T, Arellano N, Liu CC, Maher MJ, Durand WJ, Dawes SJ, Bucchignano JJ, Gignac L, Sanders DP, Joseph EA, Colburn ME, Willson CG, et al. High chi block copolymer DSA to improve pattern quality for FinFET device fabrication Proceedings of Spie - the International Society For Optical Engineering. 9779. DOI: 10.1117/12.2219544  0.417
2016 Papalia JM, Marchack N, Bruce RL, Miyazoe H, Engelmann SU, Joseph EA. Evaluation of ALE processes for patterning Proceedings of Spie - the International Society For Optical Engineering. 9782. DOI: 10.1117/12.2219280  0.83
2016 Jagtiani AV, Miyazoe H, Chang J, Farmer DB, Engel M, Neumayer D, Han SJ, Engelmann SU, Boris DR, Hernández SC, Lock EH, Walton SG, Joseph EA. Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low Te plasma sources Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4936622  0.719
2016 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Fluorocarbon assisted atomic layer etching of SiO2 and Si using cyclic Ar/C4F8 and Ar/CHF3 plasma Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4935462  0.845
2016 Metzler D, Uppireddi K, Bruce RL, Miyazoe H, Zhu Y, Price W, Sikorski ES, Li C, Engelmann SU, Joseph EA, Oehrlein GS. Application of cyclic fluorocarbon/argon discharges to device patterning Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4935460  0.826
2015 Engelmann SU, Bruce RL, Nakamura M, Metzler D, Walton SG, Joseph EA. Challenges of tailoring surface chemistry and plasma/surface interactions to advance atomic layer etching Ecs Journal of Solid State Science and Technology. 4: N5054-N5060. DOI: 10.1149/2.0101506Jss  0.839
2015 Tsai HY, Miyazoe H, Cheng J, Brink M, Dawes S, Klaus D, Bucchignano J, Sanders D, Joseph E, Colburn M, Guillorn M. Self-aligned line-space pattern customization with directed self-assembly graphoepitaxy at 24nm pitch Proceedings of Spie - the International Society For Optical Engineering. 9423. DOI: 10.1117/12.2084845  0.405
2015 Huffman C, Joseph EA, Paparao S. Moving from thin films to atomic layers - Atomic layer etching International Symposium On Vlsi Technology, Systems, and Applications, Proceedings. 2015. DOI: 10.1109/VLSI-TSA.2015.7117594  0.318
2015 Sukumaran V, Tran-Quinn T, Lubguban J, Webster D, Hedrick B, Cox H, Wood J, Miyazoe H, Yan H, Joseph E, Zhang H, Backes B, Chace M, Perfecto E, Melville I, et al. Defect mitigation of plasma-induced delamination of TiW/Cu from SiNx layer in thin si interposer processing with glass carriers Proceedings - Electronic Components and Technology Conference. 2015: 916-921. DOI: 10.1109/ECTC.2015.7159703  0.342
2014 Bai J, Wang D, Nam SW, Peng H, Bruce R, Gignac L, Brink M, Kratschmer E, Rossnagel S, Waggoner P, Reuter K, Wang C, Astier Y, Balagurusamy V, Luan B, ... ... Joseph E, et al. Fabrication of sub-20 nm nanopore arrays in membranes with embedded metal electrodes at wafer scales. Nanoscale. 6: 8900-6. PMID 24964839 DOI: 10.1039/C3Nr06723H  0.659
2014 Tsai H, Pitera JW, Miyazoe H, Bangsaruntip S, Engelmann SU, Liu CC, Cheng JY, Bucchignano JJ, Klaus DP, Joseph EA, Sanders DP, Colburn ME, Guillorn MA. Two-dimensional pattern formation using graphoepitaxy of PS-b-PMMA block copolymers for advanced FinFET device and circuit fabrication. Acs Nano. 8: 5227-32. PMID 24670216 DOI: 10.1021/Nn501300B  0.708
2014 Metzler D, Bruce RL, Engelmann S, Joseph EA, Oehrlein GS. Fluorocarbon assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma Journal of Vacuum Science and Technology. 32: 20603. DOI: 10.1116/1.4843575  0.839
2014 Majumdar A, Sun Y, Cheng CW, Kim YH, Rana U, Martin RM, Bruce RL, Shiu KT, Zhu Y, Farmer DB, Hopstaken M, Joseph EA, De Souza JP, Frank MM, Cheng SL, et al. CMOS-Compatible self-aligned In0.53Ga0.47As MOSFETs with gate lengths down to 30 nm Ieee Transactions On Electron Devices. 61: 3399-3404. DOI: 10.1109/Ted.2014.2335747  0.653
2014 Shenoy RS, Burr GW, Virwani K, Jackson B, Padilla A, Narayanan P, Rettner CT, Shelby RM, Bethune DS, Raman KV, Brightsky M, Joseph E, Rice PM, Topuria T, Kellock AJ, et al. MIEC (mixed-ionic-electronic-conduction)-based access devices for non-volatile crossbar memory arrays Semiconductor Science and Technology. 29. DOI: 10.1088/0268-1242/29/10/104005  0.336
2013 Joseph EA, Engelmann SU, Miyazoe H, Bruce RL, Nakamura M, Suzuki T, Hoinkis M. Advanced plasma etch for the 10nm node and beyond Proceedings of Spie - the International Society For Optical Engineering. 8685. DOI: 10.1117/12.2015189  0.814
2013 Tsai HY, Miyazoe H, Engelmann S, Bangsaruntip S, Lauer I, Bucchignano J, Klaus D, Gignac L, Joseph E, Cheng J, Sanders D, Guillorn M. Pattern transfer of directed self-assembly (DSA) patterns for CMOS device applications Proceedings of Spie - the International Society For Optical Engineering. 8685. DOI: 10.1117/12.2014259  0.74
2013 Tsai HY, Miyazoe H, Engelmann S, Liu CC, Gignac L, Bucchignano J, Klaus D, Breslin C, Joseph E, Cheng J, Sanders D, Guillorn M. Pattern transfer of directed self-assembly patterns for CMOS device applications Journal of Micro/Nanolithography, Mems, and Moems. 12. DOI: 10.1117/1.Jmm.12.4.041305  0.741
2013 Liu F, Fletcher B, Joseph EA, Zhu Y, Gonsalves J, Price W, Fritz GM, Engelmann SU, Pyzyna A, Zhang Z, Cabral C, Guillorn MA. Subtractive W contact and local interconnect co-integration (CLIC) Proceedings of the 2013 Ieee International Interconnect Technology Conference, Iitc 2013. DOI: 10.1109/IITC.2013.6615550  0.563
2012 Wang N, O'Sullivan EJ, Herget P, Rajendran B, Krupp LE, Romankiw LT, Webb BC, Fontana R, Duch EA, Joseph EA, Brown SL, Hu X, Decad GM, Sturcken N, Shepard KL, et al. Integrated on-chip inductors with electroplated magnetic yokes (invited) Journal of Applied Physics. 111. DOI: 10.1063/1.3679458  0.347
2011 Washington JS, Joseph EA, Raoux S, Jordan-Sweet JL, Miller D, Cheng HY, Schrott AG, Chen CF, Dasaka R, Shelby B, Lucovsky G, Paesler MA, Miotti L, Lung HL, Zhang Y, et al. Characterizing the effects of etch-induced material modification on the crystallization properties of nitrogen doped Ge2Sb2Te 5 Journal of Applied Physics. 109. DOI: 10.1063/1.3524510  0.311
2010 Schrott A, Chen C, Breitwisch MJ, Joseph EA, Dasaka RK, Cheek RW, Zhu Y, Lam CH. Influence of Bottom Contact Material on the Selective Chemical Vapor Deposition of Crystalline GeSbTe Alloys Mrs Proceedings. 1251. DOI: 10.1557/Proc-1251-H06-10  0.412
2010 Harrer S, Arnold JC, Goldfarb DL, Holmes SJ, Chen R, Tang C, Slezak M, Fender N, Della Guardia RA, Joseph EA, Engelmann SU, Chen ST, Horak D, Yin Y, Varanasi RP, et al. Fabrication of dual damascene BEOL structures using a multi-level multiple exposure (MLME) scheme - Part 2. RIE-based pattern transfer and completion of dual damascene process yielding an electrically functional via chain Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.846593  0.734
2010 Goldfarb DL, Harrer S, Arnold JC, Holmes SJ, Chen R, Tang C, Fender N, Slezak M, Della Guardia RA, Joseph EA, Engelmann SU, Varanasi RP, Colburn ME. Fabrication of dual damascene BEOL structures using a Multi-Level Multiple Exposure (MLME) scheme - Part 1. Lithographic patterning Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.846443  0.704
2010 Bangsaruntip S, Majumdar A, Cohen GM, Engelmann SU, Zhang Y, Guillorn M, Gignac LM, Mittal S, Graham WS, Joseph EA, Klaus DP, Chang J, Cartier EA, Sleight JW. Gate-all-around silicon nanowire 25-stage CMOS ring oscillators with diameter down to 3 nm Digest of Technical Papers - Symposium On Vlsi Technology. 21-22. DOI: 10.1109/VLSIT.2010.5556136  0.614
2009 Guillorn M, Chang J, Fuller N, Patel J, Darnon M, Pyzyna A, Joseph E, Engelmann S, Ott J, Newbury J, Klaus D, Bucchignano J, Joshi P, Scerbo C, Kratschmer E, et al. Hydrogen silsesquioxane-based hybrid electron beam and optical lithography for high density circuit prototyping Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 27: 2588-2592. DOI: 10.1116/1.3246357  0.691
2008 Joseph EA, Zhou BS, Sant SP, Overzet LJ, Goeckner MJ. Role of chamber dimension in fluorocarbon based deposition and etching of Si O2 and its effects on gas and surface-phase chemistry Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 26: 545-554. DOI: 10.1116/1.2909963  0.516
2008 Goeckner MJ, Nelson CT, Sant SP, Jindal AK, Joseph EA, Zhou BS, Padron-Wells G, Jarvis B, Pierce R, Overzet LJ. Plasma-surface interactions Journal of Physics: Conference Series. 133. DOI: 10.1088/1742-6596/133/1/012010  0.365
2006 Zhou B, Joseph EA, Overzet LJ, Goeckner MJ. Spectroscopic study of gas and surface phase chemistries of CF 4 plasmas in an inductively coupled modified gaseous electronics conference reactor Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 24: 114-125. DOI: 10.1116/1.2138718  0.315
2004 Standaert TEFM, Hedlund C, Joseph EA, Oehrlein GS, Dalton TJ. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 22: 53-60. DOI: 10.1116/1.1626642  0.625
2001 Joseph EA, Gross C, Liu HY, Laaksonen RT, Celii FG. Characterization of silicon-rich nitride and oxynitride films for polysilicon gate patterning. I. Physical characterization Journal of Vacuum Science and Technology, Part a: Vacuum, Surfaces and Films. 19: 2483-2489. DOI: 10.1116/1.1388624  0.409
2000 Standaert TEFM, Joseph EA, Oehrlein GS, Jain A, Gill WN, Wayner PC, Plawsky JL. Etching of xerogel in high-density fluorocarbon plasmas Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 18: 2742-2748. DOI: 10.1116/1.1290376  0.607
Show low-probability matches.