Chang-Koo Kim, Ph.D. - Publications

Affiliations: 
2000 University of Houston, Houston, TX, United States 
Area:
Materials Science Engineering, Fluid and Plasma Physics, Chemical Engineering

48 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Kim J, Bak JG, Kim C. Electrical Resistivity of Ni–Fe Wires Coated with Sn Using low-Pressure Chemical Vapor Deposition The Coatings. 10: 317. DOI: 10.3390/Coatings10040317  0.302
2020 Kim J, Park J, Kim C. SiO2 etching in inductively coupled plasmas using heptafluoroisopropyl methyl ether and 1,1,2,2-tetrafluoroethyl 2,2,2-trifluoroethyl ether Applied Surface Science. 508: 144787. DOI: 10.1016/J.Apsusc.2019.144787  0.411
2020 Kim J, Kim C. Si 3 N 4 etch rates at various ion-incidence angles in high-density CF 4 , CHF 3 , and C 2 F 6 plasmas Korean Journal of Chemical Engineering. 37: 374-379. DOI: 10.1007/S11814-019-0449-X  0.418
2019 Kim J, Park J, Kim C. Angular dependence of SiO2 etching in plasmas containing heptafluoropropyl methyl ether Thin Solid Films. 669: 262-268. DOI: 10.1016/J.Tsf.2018.11.010  0.414
2018 Kim J, Park J, Kim C. Plasma Etching of SiO2 Using Heptafluoropropyl Methyl Ether and Perfluoropropyl Vinyl Ether Ecs Journal of Solid State Science and Technology. 7: Q218-Q221. DOI: 10.1149/2.0361811Jss  0.315
2018 Koh K, Kim Y, Kim C, Chae H. Quasi atomic layer etching of SiO2 using plasma fluorination for surface cleaning Journal of Vacuum Science and Technology. 36. DOI: 10.1116/1.5003417  0.379
2017 Kim J, Cho S, Park CJ, Chae H, Kim C. Angular dependences of SiO 2 etch rates at different bias voltages in CF 4 , C 2 F 6 , and C 4 F 8 plasmas Thin Solid Films. 637: 43-48. DOI: 10.1016/J.Tsf.2017.03.047  0.372
2017 Kim J, Cho S, Kim C. Angular Dependence of Si3 N4 Etching in C4 F6 /CH2 F2 /O2 /Ar Plasmas Chemical Engineering & Technology. 40: 2251-2256. DOI: 10.1002/Ceat.201700126  0.443
2016 Cho S, Lee H, Kim J, Bak JG, Kim C. Fabrication of Slanted Cu Nanopillars with Uniform Arrays Nanomaterials and Nanotechnology. 6: 11. DOI: 10.5772/62443  0.316
2016 Cho S, Kim J, Lee H, Chae H, Kim C. Superhydrophobic Si surfaces having microscale rod structures prepared in a plasma etching system Surface and Coatings Technology. 306: 82-86. DOI: 10.1016/J.Surfcoat.2016.05.009  0.393
2016 Kim JH, Lee HM, Kang DW, Lee KM, Kim CK. Effect of oxygen flow rate on the electrical and optical characteristics of dopantless tin oxide films fabricated by low pressure chemical vapor deposition Korean Journal of Chemical Engineering. 33: 2711-2715. DOI: 10.1007/S11814-016-0151-1  0.327
2015 Lee HM, Cho SW, Song CJ, Kang HJ, Kwon BJ, Kim CK. Abrupt change with surfactant concentration in the surface morphology of the electrodeposited manganese oxide films for electrochemical capacitors Electrochimica Acta. 160: 50-56. DOI: 10.1016/J.Electacta.2015.02.013  0.36
2013 Ji JM, Cho S, Kim C. Effects of Ar Addition on the Etch Rates and Etch Profiles of Si Substrates During the Bosch Process Korean Journal of Chemical Engineering. 51: 755-759. DOI: 10.9713/Kcer.2013.51.6.755  0.365
2013 Jang H, Nam J, Kim C, Chae H. Real‐Time Endpoint Detection of Small Exposed Area SiO2 Films in Plasma Etching Using Plasma Impedance Monitoring with Modified Principal Component Analysis Plasma Processes and Polymers. 10: 850-856. DOI: 10.1002/Ppap.201300030  0.36
2012 Cho S, Kim C, Lee J, Moon SH, Chae H. Angular dependences of SiO2 etch rates in C4F6/O2/Ar and C4F6/CH2F2/O2/Ar plasmas Journal of Vacuum Science and Technology. 30: 51301. DOI: 10.1116/1.4732127  0.416
2012 Lee H, Chae H, Kim C. Electroless deposition of NiMoP films using alkali-free chemicals for capping layers of copper interconnections Korean Journal of Chemical Engineering. 29: 1259-1265. DOI: 10.1007/S11814-011-0301-4  0.365
2011 Kandalkar SG, Lee H, Chae H, Kim C. Structural, morphological, and electrical characteristics of the electrodeposited cobalt oxide electrode for supercapacitor applications Materials Research Bulletin. 46: 48-51. DOI: 10.1016/J.Materresbull.2010.09.041  0.322
2010 Lee J, Jang I, Lee S, Kim C, Moon SH. Mechanism of Sidewall Necking and Bowing in the Plasma Etching of High Aspect-Ratio Contact Holes Journal of the Electrochemical Society. 157. DOI: 10.1149/1.3276511  0.393
2009 Kim C, Jeong D, Hwang J, Chae H, Kim C. Argon and Nitrogen Plasma Surface Treatments of Polyimide Films for Electroless Copper Plating Journal of the Korean Physical Society. 54: 621-627. DOI: 10.3938/Jkps.54.621  0.39
2009 Lee J, Jang I, Lee S, Kim C, Moon SH. Cyclic Deposition/Etching Process to Etch a Bowing-Free SiO2 Contact Hole Journal of the Electrochemical Society. 156. DOI: 10.1149/1.3138134  0.388
2009 Lee J, Lee S, Min J, Jang I, Kim C, Moon SH. Oblique-Directional Plasma Etching of Si Using a Faraday Cage Journal of the Electrochemical Society. 156. DOI: 10.1149/1.3122623  0.408
2009 Rhee H, Lee HM, Namkoung YM, Kim C, Chae H, Kim YW. Dependence of etch rates of silicon substrates on the use of C4F8 and C4F6 plasmas in the deposition step of the Bosch process Journal of Vacuum Science & Technology B. 27: 33-40. DOI: 10.1116/1.3039690  0.417
2009 Dulal SMSI, Kim TH, Rhee H, Sung JY, Kim C. Development of an alkali-metal-free bath for electroless deposition of Co-W-P capping layers for copper interconnections Journal of Alloys and Compounds. 467: 370-375. DOI: 10.1016/J.Jallcom.2007.12.003  0.364
2009 Dulal SMSI, Yun HJ, Shin CB, Kim CK. Electrodeposition of CoWP film. V. Structural and morphological characterisations Applied Surface Science. 255: 5795-5801. DOI: 10.1016/J.Apsusc.2009.01.007  0.361
2009 Woo SH, Kim YW, Um PY, Lee H, Kim C. Film properties of nitrogen-doped polycrystalline silicon for advanced gate material Korean Journal of Chemical Engineering. 26: 824-827. DOI: 10.1007/S11814-009-0137-3  0.342
2009 Lee HM, Mahapatra SK, Anthony JK, Rotermund F, Kim CK. Effect of the titanium ion concentration on electrodeposition of nanostructured TiNi films Journal of Materials Science. 44: 3731-3735. DOI: 10.1007/S10853-009-3498-4  0.378
2008 Rhee H, Kwon H, Kim C, Kim H, Yoo J, Kim YW. Comparison of deep silicon etching using SF6/C4F8 and SF6/C4F6 plasmas in the Bosch process Journal of Vacuum Science & Technology B. 26: 576-581. DOI: 10.1116/1.2884763  0.382
2008 Kim TH, Dulal SMSI, Park CH, Chae H, Kim C. Optimisation of process parameters for electroless plating of Co–W–P capping layers from an alkali-metal-free bath Surface & Coatings Technology. 202: 4861-4867. DOI: 10.1016/J.Surfcoat.2008.04.077  0.366
2008 Dulal SMSI, Kim TH, Shin CB, Kim C. Electrodeposition of CoWP film: IV. Effect of applied potential and current density Journal of Alloys and Compounds. 461: 382-388. DOI: 10.1016/J.Jallcom.2007.06.108  0.358
2007 Jang S, Chae H, Jung D, Kim H, Kim C. Simultaneous Oxygen Plasma and Thermal Treatments of an ITO Surface to Improve the Electrical Characteristics of Organic Light-Emitting Diodes Journal of the Korean Physical Society. 51: 956. DOI: 10.3938/Jkps.51.956  0.334
2007 Dulal SMSI, Yun HJ, Shin CB, Kim CK. Electrodeposition of CoWP film Journal of the Electrochemical Society. 154. DOI: 10.1149/1.2761834  0.373
2007 Ryu H, Kim Y, Lee K, Shin C, Kim C. A comparative study on a high aspect ratio contact hole etching in UFC- and PFC-containing plasmas Microelectronics Journal. 38: 125-129. DOI: 10.1016/J.Mejo.2006.09.002  0.336
2007 Dulal SMSI, Yun HJ, Shin CB, Kim CK. Electrodeposition of CoWP film. III. Effect of pH and temperature Electrochimica Acta. 53: 934-943. DOI: 10.1016/J.Electacta.2007.08.006  0.35
2007 Yun HJ, Kim TH, Shin CB, Kim CK, Min JH, Moon SH. Comparison of atomic scale etching of poly-Si in inductively coupled Ar and He plasmas Korean Journal of Chemical Engineering. 24: 670-673. DOI: 10.1007/S11814-007-0023-9  0.392
2007 Dulal SMSI, Shin CB, Sung JY, Kim C. Electrodeposition of CoWP film II. Effect of electrolyte concentration Journal of Applied Electrochemistry. 38: 83-91. DOI: 10.1007/S10800-007-9404-3  0.374
2006 Min J, Lee J, Moon SH, Kim C. Interactive relationships between sidewall and bottom etch rates, as-affected by sidewall angle, during SiO2 etching in a CHF3 plasma Journal of Vacuum Science & Technology B. 24: 1746-1754. DOI: 10.1116/1.2209996  0.392
2005 Min J, Lee J, Moon SH, Kim C. Deep etching of silicon with smooth sidewalls by an improved gas-chopping process using a Faraday cage and a high bias voltage Journal of Vacuum Science & Technology B. 23: 1405-1411. DOI: 10.1116/1.1993623  0.374
2005 Min J, Lee G, Lee J, Moon SH, Kim C. Effect of sidewall properties on the bottom microtrench during SiO2 etching in a CF4 plasma Journal of Vacuum Science & Technology B. 23: 425-432. DOI: 10.1116/1.1865113  0.385
2005 Kim C, Shin CB. Plasma molding over surface topography: measurement of energy and angular distributions of ions extracted through a large hole Thin Solid Films. 475: 24-31. DOI: 10.1016/J.Tsf.2004.07.030  0.368
2005 Min J, Lee G, Lee J, Kim C, Moon SH. Improvement of SiO2 pattern profiles etched in CF4 and SF6 plasmas by using a Faraday cage and neutral beams Surface & Coatings Technology. 193: 75-80. DOI: 10.1016/J.Surfcoat.2004.08.153  0.365
2005 Kim C. Ion dynamics in plasma processing for the fabrication of ultrafine structures Korean Journal of Chemical Engineering. 22: 762-769. DOI: 10.1007/Bf02705796  0.339
2004 Min J, Lee G, Lee J, Moon SH, Kim C. Angular dependence of etch rates in the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas Journal of Vacuum Science and Technology. 22: 661-669. DOI: 10.1116/1.1722680  0.377
2004 Min J, Lee G, Lee J, Moon SH, Kim C. Dependences of bottom and sidewall etch rates on bias voltage and source power during the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas Journal of Vacuum Science & Technology B. 22: 893-901. DOI: 10.1116/1.1695338  0.305
2004 Kim C. Analysis of Langmuir Probe Data in High Density Plasmas Korean Journal of Chemical Engineering. 21: 746-751. DOI: 10.1007/Bf02705515  0.331
2003 Ryu H, Lee B, Park S, Kim I, Kim C. Effects of CH 2 F 2 Addition on a High Aspect Ratio Contact Hole Etching in a C 4 F 6 / O 2 / Ar Plasma Electrochemical and Solid State Letters. 6. DOI: 10.1149/1.1594412  0.312
2003 Ryu J, Cho B, Hwang S, Moon SH, Kim C. Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Korean Journal of Chemical Engineering. 20: 407-413. DOI: 10.1007/Bf02697259  0.379
2002 Kim CK, Economou DJ. Plasma molding over surface topography: Energy and angular distribution of ions extracted out of large holes Journal of Applied Physics. 91: 2594-2603. DOI: 10.1063/1.1435423  0.573
1999 Kim CK, Kubota A, Economou DJ. Molecular dynamics simulation of silicon surface smoothing by low-energy argon cluster impact Journal of Applied Physics. 86: 6758-6762. DOI: 10.1063/1.371753  0.526
Show low-probability matches.