Heeyeop Chae - Publications

Affiliations: 
2000 Chemical Engineering Massachusetts Institute of Technology, Cambridge, MA, United States 
 2000-2004 Applied Materials 
 2004-2006 Korea Institute of Science and Technology, Daejeon, South Korea 
 2006- Chemical Engineering SungKyunKwan University, Seoul, South Korea 
Website:
http://npl.skku.edu/

125 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Kim SJ, Yong SH, Chae H. Radical analysis and residence-time effect of silicon nitride atomic layer deposition processes with trisilylamine and NH3 plasmas Applied Physics Express. 13: 66002. DOI: 10.35848/1882-0786/Ab92F2  0.357
2020 Lee C, Moon H, Kim J, Kim H, Chae H. Ethanedithiol treatment on zinc oxide films for highly efficient quantum dot light-emitting diodes by reducing exciton quenching Journal of the Optical Society of America B-Optical Physics. 37: 304-310. DOI: 10.1364/Josab.379767  0.323
2020 Kim Y, Lee S, Cho Y, Kim S, Chae H. Plasma atomic layer etching of SiO2 and Si3N4 with heptafluoropropyl methyl ether (C3F7OCH3) Journal of Vacuum Science and Technology. 38: 22606. DOI: 10.1116/1.5134710  0.344
2020 Cho Y, Kim Y, Kim S, Chae H. Atomic layer etching of SiO2 for surface cleaning using ammonium fluorosilicate with CF4/NH3 plasma Journal of Vacuum Science and Technology. 38: 22604. DOI: 10.1116/1.5132986  0.334
2020 Kim SJ, Yong SH, Choi YJ, Hwangbo H, Yang W, Chae H. Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process Journal of Vacuum Science and Technology. 38: 22418. DOI: 10.1116/1.5130727  0.419
2020 Tran HV, Jiang W, Lyu M, Chae H. Tetrahydrofuran as Solvent for P3HT/F4-TCNQ Hole-Transporting Layer to Increase the Efficiency and Stability of FAPbI3-Based Perovskite Solar Cell Journal of Physical Chemistry C. 124: 14099-14104. DOI: 10.1021/Acs.Jpcc.0C03890  0.326
2020 Kim SJ, Yong SH, Ahn HJ, Shin Y, Chae H. Improvement in the moisture barrier properties and flexibility by reducing hydrogen dangling bonds in SiNx thin films with plasma surface treatment Surface & Coatings Technology. 383: 125210. DOI: 10.1016/J.Surfcoat.2019.125210  0.389
2019 Moon H, Lee W, Kim J, Lee D, Cha S, Shin S, Chae H. Composition-tailored ZnMgO nanoparticles for electron transport layers of highly efficient and bright InP-based quantum dot light emitting diodes. Chemical Communications (Cambridge, England). PMID 31626256 DOI: 10.1039/C9Cc06882A  0.315
2019 Kim H, Lee W, Moon H, Kim SJ, Chung HK, Chae H. Interlayer doping with p-type dopant for charge balance in indium phosphide (InP)-based quantum dot light-emitting diodes. Optics Express. 27: A1287-A1296. PMID 31510582 DOI: 10.1364/Oe.27.0A1287  0.343
2019 Kim S, Kim J, Kim D, Kim B, Chae H, Yi H, Hwang B. High-Performance Transparent Quantum Dot Light-Emitting Diode with Patchable Transparent Electrodes. Acs Applied Materials & Interfaces. PMID 31286764 DOI: 10.1021/Acsami.9B05969  0.355
2019 Ma Y, Jiang W, Han J, Tong Z, Wang M, Suhr J, Chen X, Xiao L, Jia S, Chae H. Experimental Investigation on Vertically-Oriented Graphene Grown in a Plasma Enhanced Chemical Vapor Deposition Process. Acs Applied Materials & Interfaces. PMID 30794749 DOI: 10.1021/Acsami.9B00896  0.572
2019 Moon H, Lee C, Lee W, Kim J, Chae H. Stability of Quantum Dots, Quantum Dot Films, and Quantum Dot Light-Emitting Diodes for Display Applications. Advanced Materials (Deerfield Beach, Fla.). e1804294. PMID 30650209 DOI: 10.1002/Adma.201804294  0.323
2019 Yong SH, Kim SJ, Chae H. Surface Planarization of Low-Temperature Flowable Silicon Oxide for Atomic Layer Deposition Al₂O₃ Thin Film Encapsulation. Journal of Nanoscience and Nanotechnology. 19: 2882-2887. PMID 30501795 DOI: 10.1166/Jnn.2019.15892  0.382
2019 Jin H, Moon H, Lee W, Hwangbo H, Yong SH, Chung HK, Chae H. Charge balance control of quantum dot light emitting diodes with atomic layer deposited aluminum oxide interlayers Rsc Advances. 9: 11634-11640. DOI: 10.1039/C9Ra00145J  0.378
2019 Choi YJ, Yong SH, Kim SJ, Hwangbo H, Cho SM, Pu LS, Chae H. Hygroscopic interlayers for multilayer Al2O3 barrier films Thin Solid Films. 690: 137524. DOI: 10.1016/J.Tsf.2019.137524  0.379
2018 Fu Y, Jiang W, Kim D, Lee W, Chae H. Highly Efficient and Fully Solution-Processed Inverted Light Emitting Diodes with Charge Control Interlayers. Acs Applied Materials & Interfaces. PMID 29738225 DOI: 10.1021/Acsami.8B05092  0.359
2018 Yong SH, Kim SJ, Cho SM, Chae H. Spatially-Resolved Remote Plasma Atomic Layer Deposition Process for Moisture Barrier Al 2 O 3 Films Journal of the Korean Physical Society. 73: 45-52. DOI: 10.3938/Jkps.73.45  0.394
2018 Yong SH, Kim SJ, Park JS, Cho SM, Ahn HJ, Chae H. Flexible Carbon-rich Al 2 O 3 Interlayers for Moisture Barrier Films by a Spatially-Resolved Atomic Layer Deposition Process Journal of the Korean Physical Society. 73: 40-44. DOI: 10.3938/Jkps.73.40  0.359
2018 Kim BS, Kim MK, Jo DS, Chae H, Cho SM. Optimal Structure of Color-Conversion Layer for White Organic Light-Emitting Diode on Silver-Nanowire Anode Ecs Journal of Solid State Science and Technology. 7. DOI: 10.1149/2.0221801Jss  0.33
2018 Yong SH, Ahn HJ, Kim SJ, Park JS, Kwon S, Cho SM, Jung D, Chae H. Room Temperature Deposition of SiNx and Plasma Polymer Layers for Flexible Multilayer Barrier Films by Plasma Enhanced Chemical Vapor Deposition Processes Nano. 13: 1850082. DOI: 10.1142/S1793292018500820  0.391
2018 Koh K, Kim Y, Kim C, Chae H. Quasi atomic layer etching of SiO2 using plasma fluorination for surface cleaning Journal of Vacuum Science and Technology. 36. DOI: 10.1116/1.5003417  0.354
2018 Park JS, Yong SH, Choi YJ, Chae H. Residual stress analysis and control of multilayer flexible moisture barrier films with SiNx and Al2O3 layers Aip Advances. 8: 85101. DOI: 10.1063/1.5037953  0.373
2018 Kim BS, Chae H, Chung HK, Cho SM. Electrical and optical analyses of tandem organic light-emitting diodes with organic charge-generation layer Aip Advances. 8: 65303. DOI: 10.1063/1.5026880  0.309
2018 Choi H, Kim C, Chae H, Cho SM. Efficiency enhancement of organic light-emitting diodes using mesoporous titanium-oxide scattering nanoparticles Materials Letters. 214: 1-5. DOI: 10.1016/J.Matlet.2017.11.102  0.3
2017 Park Y, Shim J, Jeong S, Yi GR, Chae H, Bae JW, Kim SO, Pang C. Microtopography-Guided Conductive Patterns of Liquid-Driven Graphene Nanoplatelet Networks for Stretchable and Skin-Conformal Sensor Array. Advanced Materials (Deerfield Beach, Fla.). PMID 28370499 DOI: 10.1002/Adma.201606453  0.604
2017 Kim D, Fu Y, Kim S, Lee W, Lee KH, Chung HK, Lee HJ, Yang H, Chae H. Polyethylenimine Ethoxylated-Mediated All-Solution-Processed High-Performance Flexible Inverted Quantum Dot-Light-Emitting Device. Acs Nano. PMID 28187259 DOI: 10.1021/Acsnano.6B08142  0.372
2017 Jang H, Kim H, Lee S, Moon H, Jung D, Chae H. Characterization of Low-k SiCOH Film Etching in Fluorocarbon Inductively Coupled Plasmas Nanoscience and Nanotechnology Letters. 9: 174-178. DOI: 10.1166/Nnl.2017.2332  0.322
2017 Fu Y, Kim D, Moon H, Yang H, Chae H. Hexamethyldisilazane-mediated, full-solution-processed inverted quantum dot-light-emitting diodes Journal of Materials Chemistry C. 5: 522-526. DOI: 10.1039/C6Tc05119G  0.321
2017 Yin W, Kim N, Jeong J, Kim KS, Chae H, Ahn TK. Efficient Heterotransfer between Visible Quantum Dots The Journal of Physical Chemistry C. 121: 4799-4805. DOI: 10.1021/Acs.Jpcc.6B10640  0.317
2017 Kim J, Cho S, Park CJ, Chae H, Kim C. Angular dependences of SiO 2 etch rates at different bias voltages in CF 4 , C 2 F 6 , and C 4 F 8 plasmas Thin Solid Films. 637: 43-48. DOI: 10.1016/J.Tsf.2017.03.047  0.313
2017 Jung E, Kim C, Kim M, Chae H, Cho JH, Cho SM. Roll-to-roll preparation of silver-nanowire transparent electrode and its application to large-area organic light-emitting diodes Organic Electronics. 41: 190-197. DOI: 10.1016/J.Orgel.2016.11.003  0.358
2017 Lee S, Jo DS, Kim BS, Yoon D, Chae H, Chung H, Cho SM. Hybrid color-conversion layers for white emission from fluorescent blue organic light-emitting diodes Current Applied Physics. 17: 1108-1113. DOI: 10.1016/J.Cap.2017.05.004  0.305
2017 Park Y, Shim J, Jeong S, Yi G, Chae H, Bae JW, Kim SO, Pang C. Graphene: Microtopography-Guided Conductive Patterns of Liquid-Driven Graphene Nanoplatelet Networks for Stretchable and Skin-Conformal Sensor Array (Adv. Mater. 21/2017) Advanced Materials. 29. DOI: 10.1002/Adma.201770147  0.566
2016 Hwang M, Kim C, Choi H, Chae H, Cho SM. Light extraction from surface plasmon polaritons and substrate/waveguide modes in organic light-emitting devices with silver-nanomesh electrodes. Optics Express. 24: 29483-29495. PMID 28059335 DOI: 10.1364/Oe.24.029483  0.308
2016 Hwang W, Pang C, Chae H. Fabrication of aligned nanofibers by electric-field-controlled electrospinning: insulating-block method. Nanotechnology. 27: 435301. PMID 27651316 DOI: 10.1088/0957-4484/27/43/435301  0.567
2016 Kim H, Ban W, Kwon S, Yong S, Chae H, Jung D. Effects of Plasma Polymer Films and Their Deposition Powers on the Barrier Characteristics of the Multilayer Encapsulation for Organic Devices. J Nanosci Nanotechnol. 16: 5389-93. PMID 27483936 DOI: 10.1166/Jnn.2016.12201  0.412
2016 Kim S, Choi G, Chae H, Lee NE. Effects of Bias Pulsing on Etching of SiO2 Pattern in Capacitively-Coupled Plasmas for Nano-Scale Patterning of Multi-Level Hard Masks. J Nanosci Nanotechnol. 16: 5143-9. PMID 27483889 DOI: 10.1166/Jnn.2016.12232  0.328
2016 Kim NH, Jeong J, Chae H. White Light Emission with Quantum Dots: A Review Applied Science and Convergence Technology. 25: 1-6. DOI: 10.5757/Asct.2016.25.1.1  0.304
2016 Kim N, Na W, Yin W, Jin H, Ahn TK, Cho SM, Chae H. CuInS2/ZnS quantum dot-embedded polymer nanofibers for color conversion films Journal of Materials Chemistry C. 4: 2457-2462. DOI: 10.1039/C5Tc03967C  0.367
2016 Cho S, Kim J, Lee H, Chae H, Kim C. Superhydrophobic Si surfaces having microscale rod structures prepared in a plasma etching system Surface and Coatings Technology. 306: 82-86. DOI: 10.1016/J.Surfcoat.2016.05.009  0.313
2016 Choi J, Kim J, Oh SJ, Kim D, Kim Y, Chae H, Kim H. Optical and electrical properties of ZnO nanocrystal thin films passivated by atomic layer deposited Al2O3 Metals and Materials International. 22: 723-729. DOI: 10.1007/S12540-016-5692-7  0.377
2016 Lim SH, Seo SW, Lee H, Chae H, Cho SM. Extremely flexible organic-inorganic moisture barriers Korean Journal of Chemical Engineering. 1-6. DOI: 10.1007/S11814-016-0037-2  0.399
2015 Ma Y, Jang H, Kim SJ, Pang C, Chae H. Copper-Assisted Direct Growth of Vertical Graphene Nanosheets on Glass Substrates by Low-Temperature Plasma-Enhanced Chemical Vapour Deposition Process. Nanoscale Research Letters. 10: 1019. PMID 26239877 DOI: 10.1186/S11671-015-1019-8  0.686
2015 Kim S, Lee H, Na S, Jung E, Kang JG, Kim D, Cho SM, Chae H, Chung HK, Kim SB, Lee BW, Kim KE, Lee S, Lee HJ, Kim H, et al. Enhancement of electrical conductivity of silver nanowires-networked films via the addition of Cs-added TiO2. Nanotechnology. 26: 135705. PMID 25760426 DOI: 10.1088/0957-4484/26/13/135705  0.329
2015 Yang J, Lee S, Kim K, Jung D, Chae H. Properties of Low-k (k-2.05) Plasma Polymer Films Deposited by PECVD Using Decamethyl-cyclopentasiloxane and Cyclohexane as the Precursors The Japan Society of Applied Physics. DOI: 10.7567/Ssdm.2005.C-1-3  0.312
2015 Ma Y, Wang M, Kim N, Suhr J, Chae H. A flexible supercapacitor based on vertically oriented 'Graphene Forest' electrodes Journal of Materials Chemistry A. 3: 21875-21881. DOI: 10.1039/C5Ta05687J  0.534
2015 Kim N, Xin G, Cho SM, Pang C, Chae H. Microwave-reduced graphene oxide for efficient and stable hole extraction layers of polymer solar cells Current Applied Physics. 15: 953-957. DOI: 10.1016/J.Cap.2015.05.011  0.629
2015 Baik S, Kim N, Kim T, Chae H, Kim KH, Pang C, Suh K. Theoretical analysis of flexible strain-gauge sensor with nanofibrillar mechanical interlocking Current Applied Physics. 15: 274-278. DOI: 10.1016/J.Cap.2014.12.020  0.639
2015 Jung E, Lee H, Chae H, Cho SM. Effect of hole-transport-layer thickness on deep-blue emission in top-emitting cavity organic light-emitting diodes Electronic Materials Letters. 11: 764-768. DOI: 10.1007/S13391-015-5118-6  0.337
2014 Ma Y, Kim D, Jang H, Cho SM, Chae H. Characterization of low temperature graphene synthesis in inductively coupled plasma chemical vapor deposition process with optical emission spectroscopy. Journal of Nanoscience and Nanotechnology. 14: 9065-72. PMID 25971011 DOI: 10.1166/Jnn.2014.10098  0.562
2014 Ho MD, Kim N, Kim D, Cho SM, Chae H. CdSe/ZnS quantum dot thin film formation by an electrospray deposition process for light-emitting devices. Small (Weinheim An Der Bergstrasse, Germany). 10: 4142-6. PMID 24913824 DOI: 10.1002/Smll.201400251  0.34
2014 Kim J, Choi J, Chae H, Kim H. Effect of indium doping on low-voltage ZnO nanocrystal field-effect transistors with ion-gel gate dielectric Japanese Journal of Applied Physics. 53: 071101. DOI: 10.7567/Jjap.53.071101  0.317
2014 Kim N, Lee J, An H, Pang C, Cho SM, Chae H. Color temperature control of quantum dot white light emitting diodes by grafting organic fluorescent molecules J. Mater. Chem. C. 2: 9800-9804. DOI: 10.1039/C4Tc01780C  0.572
2014 Seo SW, Hwang KH, Jung E, Seo SJ, Chae H, Cho SM. Enhanced moisture-barrier property of a hybrid nanolaminate composed of aluminum oxide and plasma polymer Materials Letters. 134: 142-145. DOI: 10.1016/J.Matlet.2014.07.093  0.384
2014 Hwang K, Seo S, Jung E, Chae H, Cho SM. Plasma-polymerized n-hexane and its utilization as multilayer moisture-barrier film with aluminum oxide Korean Journal of Chemical Engineering. 31: 528-531. DOI: 10.1007/S11814-013-0278-2  0.377
2013 Meng Y, Xin G, Nam J, Cho SM, Chae H. Electrospray deposition of carbon nanotube thin films for flexible transparent electrodes. Journal of Nanoscience and Nanotechnology. 13: 6125-9. PMID 24205613 DOI: 10.1166/Jnn.2013.7651  0.355
2013 Ho MD, Kim D, Kim N, Cho SM, Chae H. Polymer and small molecule mixture for organic hole transport layers in quantum dot light-emitting diodes. Acs Applied Materials & Interfaces. 5: 12369-74. PMID 24083395 DOI: 10.1021/Am403173N  0.327
2013 Kim W, Kim N, Kim JK, Park I, Choi YS, Wang DH, Chae H, Park JH. Polymer bulk heterojunction solar cells with PEDOT:PSS bilayer structure as hole extraction layer. Chemsuschem. 6: 1070-5. PMID 23658139 DOI: 10.1002/Cssc.201200950  0.353
2013 Lee H, Seo S, Jung E, Chae H, Cho SM. Flexible Organic Light-Emitting Diodes on a Poly(3,4-ethylenedioxythiophene)/Metal-Grid Hybrid Electrode Applied Physics Express. 6: 46503. DOI: 10.7567/Apex.6.046503  0.32
2013 Seo SW, Chung HK, Chae H, Seo SJ, Cho SM. Flexible organic/inorganic moisture barrier using plasma-polymerized layer Nano. 8. DOI: 10.1142/S1793292013500410  0.387
2013 Seo S, Jung E, Seo SJ, Chae H, Chung HK, Cho SM. Toward fully flexible multilayer moisture-barriers for organic light-emitting diodes Journal of Applied Physics. 114: 143505. DOI: 10.1063/1.4824689  0.404
2013 Seo SW, Chae H, Seo SJ, Chung HK, Cho SM. Extremely bendable thin-film encapsulation of organic light-emitting diodes Applied Physics Letters. 102. DOI: 10.1063/1.4803066  0.423
2013 Jang H, Nam J, Kim C, Chae H. Real‐Time Endpoint Detection of Small Exposed Area SiO2 Films in Plasma Etching Using Plasma Impedance Monitoring with Modified Principal Component Analysis Plasma Processes and Polymers. 10: 850-856. DOI: 10.1002/Ppap.201300030  0.333
2012 Kim D, Kim H, Jang H, Jung D, Chae H. Characterization of low-k dielectric SiCOH films deposited with decamethylcyclopentasiloxane and cyclohexane. Journal of Nanoscience and Nanotechnology. 12: 6040-4. PMID 22966705 DOI: 10.1166/Jnn.2012.6270  0.318
2012 Li J, Cho SM, Chae H. Electrospray deposition of silver nanowire films for transparent electrodes. Journal of Nanoscience and Nanotechnology. 12: 5981-5. PMID 22966693 DOI: 10.1166/Jnn.2012.6315  0.346
2012 Park K, Oh S, Jung D, Chae H, Kim H, Boo JH. Hafnium metallocene compounds used as cathode interfacial layers for enhanced electron transfer in organic solar cells. Nanoscale Research Letters. 7: 74. PMID 22230259 DOI: 10.1186/1556-276X-7-74  0.351
2012 Hwang W, Xin G, Cho M, Cho SM, Chae H. Electrospray deposition of polymer thin films for organic light-emitting diodes. Nanoscale Research Letters. 7: 52. PMID 22221446 DOI: 10.1186/1556-276X-7-52  0.351
2012 Seo S, Jung E, Lim C, Chae H, Cho SM. Moisture Permeation through Ultrathin TiO2 Films Grown by Atomic Layer Deposition Applied Physics Express. 5: 35701. DOI: 10.1143/Apex.5.035701  0.355
2012 Cho S, Kim C, Lee J, Moon SH, Chae H. Angular dependences of SiO2 etch rates in C4F6/O2/Ar and C4F6/CH2F2/O2/Ar plasmas Journal of Vacuum Science and Technology. 30: 51301. DOI: 10.1116/1.4732127  0.331
2012 Nam E, Oh S, Jung D, Kim H, Chae H, Yi J. Organic photovoltaic devices with the bilayer cathode interfacial structure of pyromellitic dianhydride and lithium fluoride Semiconductor Science and Technology. 27: 105004. DOI: 10.1088/0268-1242/27/10/105004  0.36
2012 Seo S, Jung E, Lim C, Chae H, Cho SM. Water permeation through organic–inorganic multilayer thin films Thin Solid Films. 520: 6690-6694. DOI: 10.1016/J.Tsf.2012.07.017  0.364
2012 Seo S, Jung E, Chae H, Cho SM. Optimization of Al2O3/ZrO2 nanolaminate structure for thin-film encapsulation of OLEDs Organic Electronics. 13: 2436-2441. DOI: 10.1016/J.Orgel.2012.07.007  0.339
2012 Xin G, Meng Y, Ma Y, Ho D, Kim N, Cho SM, Chae H. Tunable photoluminescence of graphene oxide from near-ultraviolet to blue Materials Letters. 74: 71-73. DOI: 10.1016/J.Matlet.2012.01.047  0.521
2012 Kim H, Ha MH, Jung D, Chae H, Kim H. Effects of He (90%)/H2 (10%) plasma treatment on electric properties of low dielectric constant SiCOH films Materials Research Bulletin. 47: 3008-3010. DOI: 10.1016/J.Materresbull.2012.04.097  0.35
2012 Zhu X, Lee D-, Chae H, Cho SM. Abrupt change of luminescence spectrum in single-layer phosphorescent polymer light emitting diode Journal of Luminescence. 132: 12-15. DOI: 10.1016/J.Jlumin.2011.07.019  0.323
2012 Lee H, Chae H, Kim C. Electroless deposition of NiMoP films using alkali-free chemicals for capping layers of copper interconnections Korean Journal of Chemical Engineering. 29: 1259-1265. DOI: 10.1007/S11814-011-0301-4  0.336
2012 Seo S, Won SH, Chae H, Cho SM. Low-temperature growth of highly conductive and transparent aluminum-doped ZnO film by ultrasonic-mist deposition Korean Journal of Chemical Engineering. 29: 525-528. DOI: 10.1007/S11814-011-0207-1  0.343
2012 Lee J, Kim A, Cho SM, Chae H. Solvent effects on gravure-printed organic layers of nanoscale thickness for organic solar cells Korean Journal of Chemical Engineering. 29: 337-340. DOI: 10.1007/S11814-011-0174-6  0.355
2011 Kim J, Kim NH, Kim H, Jung D, Chae H. Simultaneous plasma and thermal treatments of ITO surfaces for organic solar cells. Journal of Nanoscience and Nanotechnology. 11: 6490-3. PMID 22121742 DOI: 10.1166/Jnn.2011.4507  0.364
2011 Kim A, Lee H, Lee J, Cho SM, Chae H. Bi-layer gravure printed nanoscale thick organic layers for organic light emitting diode. Journal of Nanoscience and Nanotechnology. 11: 546-9. PMID 21446494 DOI: 10.1166/Jnn.2011.3163  0.358
2011 Lee D, Liu Y, Jung E, Seo S, Chae H, Cho SM. Polymer Organic Light-Emitting Devices with Cathodes Transferred under Ambient Conditions Japanese Journal of Applied Physics. 50: 110206. DOI: 10.1143/Jjap.50.110206  0.349
2011 Park J, Chae H, Chung HK, Lee SI. Thin film encapsulation for flexible AM-OLED: a review Semiconductor Science and Technology. 26: 034001. DOI: 10.1088/0268-1242/26/3/034001  0.375
2011 Kandalkar SG, Lee H, Chae H, Kim C. Structural, morphological, and electrical characteristics of the electrodeposited cobalt oxide electrode for supercapacitor applications Materials Research Bulletin. 46: 48-51. DOI: 10.1016/J.Materresbull.2010.09.041  0.313
2010 Xin G, Hwang W, Kim N, Cho SM, Chae H. A graphene sheet exfoliated with microwave irradiation and interlinked by carbon nanotubes for high-performance transparent flexible electrodes. Nanotechnology. 21: 405201. PMID 20823496 DOI: 10.1088/0957-4484/21/40/405201  0.342
2010 Kim A, Lee H, Ryu C, Cho SM, Chae H. Nanoscale thickness and roughness control of gravure printed MEH-PPV layer by solvent printing for organic light emitting diode. Journal of Nanoscience and Nanotechnology. 10: 3326-30. PMID 20358949 DOI: 10.1166/Jnn.2010.2283  0.365
2010 Nam E, Moon MR, Kim J, Jung D, Kim H, Chae H, Yi J. Effects of the pyromellitic dianhydride cathode interfacial layer on characteristics of organic solar cells based on poly(3-hexylthiophene-2,5-diyl) and [6,6]-phenyl C61 butyric acid methyl ester Journal of Materials Research. 25: 866-870. DOI: 10.1557/Jmr.2010.0113  0.346
2010 Nam E, Moon MR, Jung D, Lee S, Chae H, Cho JH, Yi J, Park SH, Cho M, Kim H. Performance Improvement of the Organic Light-Emitting Diodes by Using a LiF/Pyromellitic Dianhydride Stacked Cathode Interfacial Layer Journal of the Electrochemical Society. 157: J425. DOI: 10.1149/1.3497351  0.363
2010 Lee D, Liu Y, Lee K, Chae H, Cho SM. Effect of hole transporting materials in phosphorescent white polymer light-emitting diodes Organic Electronics. 11: 427-433. DOI: 10.1016/J.Orgel.2009.11.022  0.325
2010 Lee H, Kim A, Cho SM, Chae H. Characterization of thermal annealing of gravure printed PVK/Ir(ppy)3 organic light emitting layers Current Applied Physics. 10. DOI: 10.1016/J.Cap.2010.08.029  0.38
2010 Zhang B, Lee D, Chae H, Park C, Cho SM. Optimization of inverted bulk heterojunction polymer solar cells Korean Journal of Chemical Engineering. 27: 999-1002. DOI: 10.1007/S11814-010-0117-7  0.335
2010 Zhu X, Lee D, Chae H, Cho SM. Enhanced efficiency of white polymer light-emitting diodes with inorganic nanodots Korean Journal of Chemical Engineering. 27: 683-687. DOI: 10.1007/S11814-010-0059-0  0.326
2010 Seo S, Lee D, Kim YS, Chae H, Cho SM. Unique surface textures of ZnO films deposited by chemical bath deposition Physica Status Solidi (a). 207: 724-729. DOI: 10.1002/Pssa.200925436  0.325
2009 Lee H, Kim A, Cho SM, Chae H. Nanoscale thickness and roughness control of gravure printed organic light emitting layer with poly(N-vinyl carbazole) and Ir(ppy)3. Journal of Nanoscience and Nanotechnology. 9: 7278-82. PMID 19908772 DOI: 10.1166/Jnn.2009.1780  0.375
2009 Park K, Kim K, Lee W, Chae H, Han I, Lee H. Analysis of Novel Helmholtz-inductively Coupled Plasma Source and Its Application for Nano-Scale MOSFETs Transactions On Electrical and Electronic Materials. 10: 35-39. DOI: 10.4313/Teem.2009.10.2.035  0.344
2009 Kim C, Jeong D, Hwang J, Chae H, Kim C. Argon and Nitrogen Plasma Surface Treatments of Polyimide Films for Electroless Copper Plating Journal of the Korean Physical Society. 54: 621-627. DOI: 10.3938/Jkps.54.621  0.322
2009 Lee D, Park JH, Chae H, Cho SM. Inverted Bottom-Emission Polymer Light-Emitting Devices Doped with Organic Salt Japanese Journal of Applied Physics. 48: 110203. DOI: 10.1143/Jjap.48.110203  0.343
2009 Lee S, Woo J, Nam E, Jung D, Yang J, Chae H, Kim H. Effects of Deposition Plasma Power on Properties of Low Dielectric-Constant Plasma Polymer Films Deposited Using Hexamethyldisiloxane and 3,3-Dimethyl-1-butene Precursors Japanese Journal of Applied Physics. 48: 106001. DOI: 10.1143/Jjap.48.106001  0.362
2009 Lee D, Chae H, Cho SM. Effect of Organic Salt Doping on Electron Injection in Single-Layer Polymer Light-Emitting Diodes Japanese Journal of Applied Physics. 48: 60204. DOI: 10.1143/Jjap.48.060204  0.355
2009 Zhang B, Chae H, Cho SM. Screen-Printed Polymer:Fullerene Bulk-Heterojunction Solar Cells Japanese Journal of Applied Physics. 48: 20208. DOI: 10.1143/Jjap.48.020208  0.338
2009 Rhee H, Lee HM, Namkoung YM, Kim C, Chae H, Kim YW. Dependence of etch rates of silicon substrates on the use of C4F8 and C4F6 plasmas in the deposition step of the Bosch process Journal of Vacuum Science & Technology B. 27: 33-40. DOI: 10.1116/1.3039690  0.352
2009 Pang C, Jeong D, Chae H, Lee S, Cho CH. Kinetic Modeling of Temperature Dependence of TiCl4and NH3Surface Reaction in Trap Systems for CVD Reactors Industrial & Engineering Chemistry Research. 48: 1353-1356. DOI: 10.1021/Ie800729Y  0.601
2009 Lee DH, Xun Z, Chae H, Cho SM. Effect of electron- and hole-transporting materials on the performance of FIrpic-doped PVK phosphorescent devices Synthetic Metals. 159: 1640-1643. DOI: 10.1016/J.Synthmet.2009.04.029  0.31
2009 Lee D, Park JH, Chae H, Cho SM. Enhanced carrier balance by organic salt doping in single-layer polymer light-emitting devices Organic Electronics. 10: 1345-1351. DOI: 10.1016/J.Orgel.2009.07.014  0.369
2009 Lee D-, Choi JS, Chae H, Chung C-, Cho SM. Screen-printed white OLED based on polystyrene as a host polymer Current Applied Physics. 9: 161-164. DOI: 10.1016/J.Cap.2008.01.004  0.328
2008 Pang C, Hwang J, Park K, Jung D, Kim H, Chae H. Efficiency enhancement of polymer solar cells by patterning nanoscale indium tin oxide layer. Journal of Nanoscience and Nanotechnology. 8: 5279-83. PMID 19198438 DOI: 10.1166/Jnn.2008.1087  0.631
2008 Park K, Kim G, Sohn S, Jung D, Jang S, Chae H, Kim H, Yi J, Kim M, Kwon Y. Efficiency Enhancement and Equivalent Circuit Analysis of Polymer Solar Cells with a CF4-Plasma-Treated ITO Anode Surface Journal of the Korean Physical Society. 53: 1379-1383. DOI: 10.3938/Jkps.53.1379  0.321
2008 Lee S, Jung D, Yang J, Boo J, Kim H, Lee J, Chae H. Characterization of oxygen and nitrogen rapid thermal annealing processes for ultra-low- k SiCOH films Journal of Materials Research. 23: 856-861. DOI: 10.1557/Jmr.2008.0106  0.312
2008 Park H, Kim H, Lee J, Lee K, Yi J, Oh S, Sohn S, Jung D, Jang S, Chae H. Admittance spectroscopic analysis of organic light emitting diodes with the CFX plasma treatment on the surface of indium tin oxide anodes Thin Solid Films. 516: 1370-1373. DOI: 10.1016/J.Tsf.2007.07.178  0.34
2008 Kim TH, Dulal SMSI, Park CH, Chae H, Kim C. Optimisation of process parameters for electroless plating of Co–W–P capping layers from an alkali-metal-free bath Surface & Coatings Technology. 202: 4861-4867. DOI: 10.1016/J.Surfcoat.2008.04.077  0.336
2008 Lee D-, Choi JS, Chae H, Chung C-, Cho SM. Highly efficient phosphorescent polymer OLEDs fabricated by screen printing Displays. 29: 436-439. DOI: 10.1016/J.Displa.2008.02.006  0.304
2008 Lee D, Choi J, Chae H, Chung C, Cho SM. Single-layer organic-light-emitting devices fabricated by screen printing method Korean Journal of Chemical Engineering. 25: 176-180. DOI: 10.1007/S11814-008-0032-3  0.362
2007 Pang C, Park K, Jung D, Chae H. Recent Development Status of Organic Solar Cells Journal of the Korean Vacuum Society. 16: 167-171. DOI: 10.5757/JKVS.2007.16.3.167  0.511
2007 Chae H, Sawin HH. Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber Journal of the Korean Physical Society. 51: 978-983. DOI: 10.3938/Jkps.51.978  0.346
2007 Jang S, Chae H, Jung D, Kim H, Kim C. Simultaneous Oxygen Plasma and Thermal Treatments of an ITO Surface to Improve the Electrical Characteristics of Organic Light-Emitting Diodes Journal of the Korean Physical Society. 51: 956. DOI: 10.3938/Jkps.51.956  0.345
2007 Ryu C, Kim C, Chae H, Nam JD. Protein Patterning on a Glass Substrate with a Capillary Force Lithography Process Enhanced by Surface Treatment Processes Journal of the Korean Physical Society. 51: 1160-1165. DOI: 10.3938/Jkps.51.1160  0.311
2007 Sohn S, Park K, Jung D, Kim H, Chae H, Kim H, Yi J, Cho M, Boo J. Characteristics of Organic Light Emitting Diodes with Tetrakis(Ethylmethylamino) Hafnium Treated Indium Tin Oxide Japanese Journal of Applied Physics. 46: L461-L464. DOI: 10.1143/Jjap.46.L461  0.381
2007 Lee S, Yang J, Yeo S, Lee J, Jung D, Boo J, Kim H, Chae H. Effect of Annealing Temperature on Dielectric Constant and Bonding Structure of Low-kSiCOH Thin Films Deposited by Plasma Enhanced Chemical Vapor Deposition Japanese Journal of Applied Physics. 46: 536-541. DOI: 10.1143/Jjap.46.536  0.337
2006 Sohn S, Park K, Lee D, Jung D, Kim HM, Manna U, Yi J, Boo J, Chae H, Kim H. Characteristics of Polymer Light Emitting Diodes with the LiF Anode Interfacial Layer Japanese Journal of Applied Physics. 45: 3733-3736. DOI: 10.1143/Jjap.45.3733  0.383
2006 Yang J, Lee S, Park H, Jung D, Chae H. Characterization of low dielectric constant plasma polymer films deposited by plasma-enhanced chemical vapor deposition using decamethyl cyclopentasiloxane and cyclohexane as the precursors Journal of Vacuum Science and Technology. 24: 165-169. DOI: 10.1116/1.2148414  0.36
2005 Sohn S, Yang J, Chae H, Boo J, Jung D. Characteristics of polymer light emitting diodes with the LiF anode interfacial layer The Japan Society of Applied Physics. 2005: 806-807. DOI: 10.7567/Ssdm.2005.P10-6  0.333
2003 Allgood C, Mocella M, Chae H, Sawin H. Evaluation of Octafluorocyclobutane as a Chamber Clean Gas in a Plasma-Enhanced Silicon Dioxide Chemical Vapor Deposition Reactor Journal of the Electrochemical Society. 150. DOI: 10.1149/1.1535911  0.551
2003 Chae H, Vitale SA, Sawin HH. Silicon dioxide etching yield measurements with inductively coupled fluorocarbon plasmas Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 21: 381-387. DOI: 10.1116/1.1539085  0.597
2001 Vitale SA, Chae H, Sawin HH. Silicon etching yields in F2, Cl2, Br2, and HBr high density plasmas Journal of Vacuum Science and Technology, Part a: Vacuum, Surfaces and Films. 19: 2197-2206. DOI: 10.1116/1.1378077  0.604
2000 Vitale SA, Chae H, Sawin HH. Etching chemistry of benzocyclobutene (BCB) low-k dielectric films in F2+O2 and Cl2+O2 high density plasmas Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 18: 2770-2778. DOI: 10.1116/1.1310655  0.593
1997 Mohindra V, Chae H, Sawin HH, Mocella MT. Abatement of perfluorocompounds (PFCs) in a microwave tubular reactor using O/sub 2/ as an additive gas Ieee Transactions On Semiconductor Manufacturing. 10: 399-411. DOI: 10.1109/66.618213  0.547
Show low-probability matches.