☰

Gang Qu - Publications

Affiliations: 
Electrical and Computer Engineering University of Maryland, College Park, College Park, MD 

50 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2023 Cho Y, Qu G. A Hybrid Trust Model against Insider Packet Drop Attacks in Wireless Sensor Networks. Sensors (Basel, Switzerland). 23. PMID 37177609 DOI: 10.3390/s23094407  0.555
2020 Cui A, Li M, Qu G, Li H. A Guaranteed Secure Scan Design based on Test Data Obfuscation by Cryptographic Hash Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 1-1. DOI: 10.1109/Tcad.2020.2979458  0.307
2020 Gao M, Qu G. Estimate and Recompute: A Novel Paradigm for Approximate Computing on Data Flow Graphs Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 39: 335-345. DOI: 10.1109/Tcad.2018.2889662  0.36
2020 Hu H, Xiong K, Qu G, Ni Q, Fan P, Letaief KB. AoI-Minimal Trajectory Planning and Data Collection in UAV-Assisted Wireless Powered IoT Networks Ieee Internet of Things Journal. 1-1. DOI: 10.1109/Jiot.2020.3012835  0.399
2019 Lu Z, Wang Q, Qu G, Zhang H, Liu Z. A Blockchain-Based Privacy-Preserving Authentication Scheme for VANETs Ieee Transactions On Very Large Scale Integration Systems. 27: 2792-2801. DOI: 10.1109/Tvlsi.2019.2929420  0.361
2019 Lu Z, Qu G, Liu Z. A Survey on Recent Advances in Vehicular Network Security, Trust, and Privacy Ieee Transactions On Intelligent Transportation Systems. 20: 760-776. DOI: 10.1109/Tits.2018.2818888  0.374
2019 Wang X, Zhou Q, Cai Y, Qu G. Toward a Formal and Quantitative Evaluation Framework for Circuit Obfuscation Methods Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 38: 1844-1857. DOI: 10.1109/Tcad.2018.2864220  0.374
2019 Zhang J, Qi B, Qin Z, Qu G. HCIC: Hardware-Assisted Control-Flow Integrity Checking Ieee Internet of Things Journal. 6: 458-471. DOI: 10.1109/Jiot.2018.2866164  0.345
2019 Wang X, Zhou Q, Cai Y, Qu G. Parallelizing SAT-based de-camouflaging attacks by circuit partitioning and conflict avoiding Integration. 67: 108-120. DOI: 10.1016/J.Vlsi.2018.10.009  0.345
2018 Arafin T, Qu G. Memristors for Secret Sharing-Based Lightweight Authentication Ieee Transactions On Very Large Scale Integration Systems. 26: 2671-2683. DOI: 10.1109/Tvlsi.2018.2823714  0.38
2018 Qiu P, Lyu Y, Zhang J, Wang D, Qu G. Control Flow Integrity Based on Lightweight Encryption Architecture Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 37: 1358-1369. DOI: 10.1109/Tcad.2017.2748000  0.318
2018 Lu Z, Liu W, Wang Q, Qu G, Liu Z. A Privacy-Preserving Trust Model Based on Blockchain for VANETs Ieee Access. 6: 45655-45664. DOI: 10.1109/Access.2018.2864189  0.379
2018 Wang X, Zhou Q, Cai Y, Qu G. Spear and Shield: Evolution of Integrated Circuit Camouflaging Journal of Computer Science and Technology. 33: 42-57. DOI: 10.1007/S11390-018-1807-6  0.363
2017 Xiong K, Chen C, Qu G, Fan P, Letaief KB. Group Cooperation With Optimal Resource Allocation in Wireless Powered Communication Networks Ieee Transactions On Wireless Communications. 16: 3840-3853. DOI: 10.1109/Twc.2017.2689011  0.417
2017 Gao M, Wang Q, Arafin T, Lyu Y, Qu G. Approximate Computing for Low Power and Security in the Internet of Things Ieee Computer. 50: 27-34. DOI: 10.1109/Mc.2017.176  0.335
2017 Cui A, Luo Y, Li H, Qu G. Why current secure scan designs fail and how to fix them Integration. 56: 105-114. DOI: 10.1016/J.Vlsi.2016.10.011  0.341
2015 Zhang J, Lin Y, Qu G. Reconfigurable binding against FPGA replay attacks Acm Transactions On Design Automation of Electronic Systems. 20. DOI: 10.1145/2699833  0.335
2015 Cui A, Qu G, Zhang Y. Ultra-Low Overhead Dynamic Watermarking on Scan Design for Hard IP Protection Ieee Transactions On Information Forensics and Security. 10: 2298-2313. DOI: 10.1109/Tifs.2015.2455338  0.409
2015 Zhang J, Lin Y, Lyu Y, Qu G. A PUF-FSM Binding Scheme for FPGA IP Protection and Pay-Per-Device Licensing Ieee Transactions On Information Forensics and Security. 10: 1137-1150. DOI: 10.1109/Tifs.2015.2400413  0.355
2014 Dunbar C, Qu G. Designing trusted embedded systems from finite state machines Acm Transactions On Embedded Computing Systems. 13. DOI: 10.1145/2638555  0.372
2014 Lv YQ, Zhou Q, Cai YC, Qu G. Trusted Integrated Circuits: The Problem and Challenges Journal of Computer Science and Technology. 29: 918-928. DOI: 10.1007/S11390-014-1479-9  0.345
2013 Cho Y, Qu G. Detection and prevention of selective forwarding-based denial-of-service attacks in WSNs International Journal of Distributed Sensor Networks. 2013. DOI: 10.1155/2013/205920  0.61
2013 Cho Y, Qu G. FADER: False alarm detection and recovery for trust-Aware routing in wireless sensor networks 2013 International Conference On Connected Vehicles and Expo, Iccve 2013 - Proceedings. 647-648. DOI: 10.1109/ICCVE.2013.6799871  0.303
2011 Yuan L, Leventhal SR, Gu J, Qu G. TALk: A temperature-aware leakage minimization technique for real-time systems Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 30: 1564-1568. DOI: 10.1109/Tcad.2011.2160541  0.637
2010 Drinic̀€ M, Kirovski D, Yuan L, Qu G, Potkonjak M. Field division routing Eurasip Journal On Wireless Communications and Networking. 2010. DOI: 10.1155/2010/560797  0.701
2009 Balkan AO, Qu G, Vishkin U. Mesh-of-trees and alternative interconnection networks for single-chip parallelism Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 17: 1419-1432. DOI: 10.1109/Tvlsi.2008.2003999  0.383
2008 Yuan L, Qu G, Villa T, Sangiovanni-Vincentelli A. An FSM reengineering approach to sequential circuit synthesis by state splitting Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 27: 1159-1164. DOI: 10.1109/Tcad.2008.923245  0.49
2007 Takala J, Bhattacharyya SS, Qu G. Embedded digital signal processing systems Eurasip Journal On Embedded Systems. 2007. DOI: 10.1155/2007/27517  0.399
2007 Hua S, Qu G, Bhattacharyya SS. Probabilistic design of multimedia embedded systems Acm Transactions in Embedded Computing Systems. 6: 15. DOI: 10.1145/1275986.1275987  0.598
2007 Pamnani SN, Agarwal DN, Qu G, Yeung D. Low power system design by combining software prefetching and dynamic voltage scaling Journal of Circuits, Systems and Computers. 16: 745-767. DOI: 10.1142/S0218126607003964  0.404
2006 Hua S, Qu G, Bhattacharyya SS. Energy-efficient embedded software implementation on multiprocessor system-on-chip with multiple voltages Acm Transactions in Embedded Computing Systems. 5: 321-341. DOI: 10.1145/1151074.1151078  0.583
2006 Yuan L, Qu G. A combined gate replacement and input vector control approach for leakage current reduction Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 14: 173-182. DOI: 10.1109/Tvlsi.2005.863747  0.47
2006 Feng J, Qu G, Potkonjak M. Kernel density estimation-based data correlation Ieee Sensors Journal. 6: 974-980. DOI: 10.1109/Jsen.2006.877987  0.529
2006 Feng J, Qu G, Potkonjak M. Actuator-based infield sensor calibration Ieee Sensors Journal. 6: 1571-1579. DOI: 10.1109/Jsen.2006.877986  0.56
2005 Hua S, Qu G. Voltage setup problem for embedded systems with multiple voltages Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 13: 869-872. DOI: 10.1109/Tvlsi.2005.850122  0.363
2005 Yuan L, Qu G. Analysis of energy reduction on dynamic voltage scaling-enabled systems Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 24: 1827-1837. DOI: 10.1109/Tcad.2005.852658  0.522
2004 Wong JL, Qu G, Potkonjak M. Power minimization in QoS sensitive systems Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 12: 553-561. DOI: 10.1109/Tvlsi.2004.827567  0.709
2004 Caldwell AE, Choi HJ, Kahng AB, Mantik S, Potkonjak M, Qu G, Wong JL. Effective iterative techniques for fingerprinting design IP Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 23: 208-215. DOI: 10.1109/Tcad.2003.822126  0.702
2004 Wong JL, Qu G, Potkonjak M. Optimization-Intensive Watermarking Techniques for Decision Problems Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 23: 119-127. DOI: 10.1109/Tcad.2003.819900  0.681
2004 Qu G, Potkonjak M, Stojcev M. Book review: Intellectual property protection in VLSI designs: Theory and practice, Hardcover, pp. 183, plus XIX, 106 euro, Kluwer Academic Publishers, Boston, 2003, ISBN 1-4020-7320-8 Microelectronics Reliability. 44: 705-706. DOI: 10.1016/J.Microrel.2004.01.003  0.493
2004 Feng J, Qu G, Potkonjak M. Sensor calibration using nonparametric statistical characterization of error models Proceedings of Ieee Sensors. 3: 1456-1459.  0.47
2004 Feng J, Qu G, Potkonjak M. Differential on-line sensor calibration Proceedings of Ieee Sensors. 1: 417-420.  0.501
2003 Qu G, Potkonjak M. System synthesis of synchronous multimedia applications Acm Transactions On Embedded Computing Systems (Tecs). 2: 74-97. DOI: 10.1145/605459.605463  0.596
2003 Wong JL, Qu G, Potkonjak M. An on-line approach for power minimization in QoS sensitive systems Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 2003: 59-64. DOI: 10.1109/ASPDAC.2003.1194994  0.576
2003 Veltri G, Qu G, Huang Q, Potkonjak M. Minimal and maximal exposure path algorithms for wireless embedded sensor networks Sensys'03: Proceedings of the First International Conference On Embedded Networked Sensor Systems. 40-50.  0.547
2002 QU G, KAWABE N, USAMI K, POTKONJAK M. CODE COVERAGE-BASED POWER ESTIMATION TECHNIQUES FOR MICROPROCESSORS Journal of Circuits, Systems and Computers. 11: 557-574. DOI: 10.1142/S0218126602000616  0.546
2002 Qu G, Potkonjak M. Techniques for energy-efficient communication pipeline design Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 10: 542-549. DOI: 10.1109/Tvlsi.2002.800522  0.596
2002 Qu G. Publicly detectable watermarking for intellectual property authentication in VLSI design Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 21: 1363-1368. DOI: 10.1109/Tcad.2002.804205  0.377
2002 Megerian S, Koushanfar F, Qu G, Veltri G, Potkonjak M. Exposure in wireless sensor networks: Theory and practical solutions Wireless Networks. 8: 443-454. DOI: 10.1023/A:1016586011473  0.738
1999 Hong I, Kirovski D, Qu G, Potkonjak M, Srivastava MB. Power optimization of variable-voltage core-based systems Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 18: 1702-1714. DOI: 10.1109/43.811318  0.75
Show low-probability matches.