Harish Krishnaswamy - Publications

Affiliations: 
Electrical Engineering Columbia University, New York, NY 

72 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2022 Bialek H, Binaie A, Ahasan S, Sadagopan KR, Johnston ML, Krishnaswamy H, Natarajan A. A Passive Wideband Noise-Canceling Mixer-First Architecture With Shared Antenna Interface for Interferer-Tolerant Wake-Up Receivers and Low-Noise Primary Receivers. Ieee Journal of Solid-State Circuits. 57: 2611-2625. PMID 36937788 DOI: 10.1109/jssc.2022.3148088  0.387
2020 Johnson M, Dascurcu A, Zhan K, Galioglu A, Adepu NK, Jain S, Krishnaswamy H, Natarajan AS. Code-Domain Multiplexing for Shared IF/LO Interfaces in Millimeter-Wave MIMO Arrays Ieee Journal of Solid-State Circuits. 55: 1270-1281. DOI: 10.1109/Jssc.2020.2967538  0.456
2020 Xiao Z, Sounas DL, Nagulu A, Tymchenko M, Dinc T, Krishnaswamy H, Alù A. Role of Synchronization in Magnetless Nonreciprocal Devices Based on Commutated Transmission Lines Physical Review Applied. 13: 64033. DOI: 10.1103/Physrevapplied.13.064033  0.393
2019 Kord A, Tymchenko M, Sounas DL, Krishnaswamy H, Alu A. CMOS Integrated Magnetless Circulators Based on Spatiotemporal Modulation Angular-Momentum Biasing Ieee Transactions On Microwave Theory and Techniques. 67: 2649-2662. DOI: 10.1109/Tmtt.2019.2915074  0.425
2019 Reiskarimian N, Dinc T, Zhou J, Chen T, Dastjerdi MB, Diakonikolas J, Zussman G, Krishnaswamy H. One-way ramp to a two-way highway: integrated magnetic-free nonreciprocal antenna interfaces for full-duplex wireless Ieee Microwave Magazine. 20: 56-75. DOI: 10.1109/Mmm.2018.2880497  0.396
2019 Dastjerdi MB, Jain S, Reiskarimian N, Natarajan A, Krishnaswamy H. Analysis and Design of a Full-Duplex Two-Element MIMO Circulator-Receiver With High TX Power Handling Exploiting MIMO RF and Shared-Delay Baseband Self-Interference Cancellation Ieee Journal of Solid-State Circuits. 54: 3525-3540. DOI: 10.1109/Jssc.2019.2945303  0.484
2019 Nagulu A, Krishnaswamy H. Non-Magnetic CMOS Switched-Transmission-Line Circulators With High Power Handling and Antenna Balancing: Theory and Implementation Ieee Journal of Solid-State Circuits. 54: 1288-1303. DOI: 10.1109/Jssc.2019.2905146  0.479
2019 Sharma J, Krishnaswamy H. A 2.4-GHz Reference-Sampling Phase-Locked Loop That Simultaneously Achieves Low-Noise and Low-Spur Performance Ieee Journal of Solid-State Circuits. 54: 1407-1424. DOI: 10.1109/Jssc.2018.2889690  0.446
2019 Tymchenko M, Sounas D, Nagulu A, Krishnaswamy H, Alù A. Quasielectrostatic Wave Propagation Beyond the Delay-Bandwidth Limit in Switched Networks Physical Review X. 9: 31015. DOI: 10.1103/Physrevx.9.031015  0.329
2018 Alù A, Krishnaswamy H. Artificial nonreciprocal photonic materials at GHz-to-THz frequencies Mrs Bulletin. 43: 436-442. DOI: 10.1557/Mrs.2018.126  0.339
2018 Nagulu A, Dinc T, Xiao Z, Tymchenko M, Sounas DL, Alu A, Krishnaswamy H. Nonreciprocal Components Based on Switched Transmission Lines Ieee Transactions On Microwave Theory and Techniques. 66: 4706-4725. DOI: 10.1109/Tmtt.2018.2859244  0.437
2018 Zhou J, Krishnaswamy H. System-Level Analysis of Phase Noise in Full-Duplex Wireless Transceivers Ieee Transactions On Circuits and Systems Ii-Express Briefs. 65: 1189-1193. DOI: 10.1109/Tcsii.2018.2797528  0.411
2018 Reiskarimian N, Nagulu A, Dinc T, Krishnaswamy H. Integrated Conductivity-Modulation-Based RF Magnetic-Free Nonreciprocal Components: Recent Results and Benchmarking Ieee Antennas and Wireless Propagation Letters. 17: 1978-1982. DOI: 10.1109/Lawp.2018.2849654  0.436
2018 Xu Y, Kuo T, Straayer M, Krishnaswamy H, Natarajan A. Introduction to the Special Issue on the 2018 IEEE International Solid-State Circuits Conference (ISSCC) Ieee Journal of Solid-State Circuits. 53: 3015-3016. DOI: 10.1109/Jssc.2018.2872680  0.301
2018 Reiskarimian N, Dastjerdi MB, Zhou J, Krishnaswamy H. Analysis and Design of Commutation-Based Circulator-Receivers for Integrated Full-Duplex Wireless Ieee Journal of Solid-State Circuits. 53: 2190-2201. DOI: 10.1109/Jssc.2018.2828827  0.511
2017 Dinc T, Tymchenko M, Nagulu A, Sounas D, Alu A, Krishnaswamy H. Synchronized conductivity modulation to realize broadband lossless magnetic-free non-reciprocity. Nature Communications. 8: 795. PMID 28986530 DOI: 10.1038/S41467-017-00798-9  0.414
2017 Zhou J, Reiskarimian N, Diakonikolas J, Dinc T, Chen T, Zussman G, Krishnaswamy H. Integrated full duplex radios Ieee Communications Magazine. 55: 142-151. DOI: 10.1109/Mcom.2017.1600583  0.424
2017 Bhat R, Zhou J, Krishnaswamy H. Wideband Mixed-Domain Multi-Tap Finite-Impulse Response Filtering of Out-of-Band Noise Floor in Watt-Class Digital Transmitters Ieee Journal of Solid-State Circuits. 52: 3405-3420. DOI: 10.1109/Jssc.2017.2760899  0.466
2017 Dinc T, Nagulu A, Krishnaswamy H. A Millimeter-Wave Non-Magnetic Passive SOI CMOS Circulator Based on Spatio-Temporal Conductivity Modulation Ieee Journal of Solid-State Circuits. 52: 3276-3292. DOI: 10.1109/Jssc.2017.2759422  0.463
2017 Zhang L, Krishnaswamy H. Arbitrary Analog/RF Spatial Filtering for Digital MIMO Receiver Arrays Ieee Journal of Solid-State Circuits. 52: 3392-3404. DOI: 10.1109/Jssc.2017.2759118  0.389
2017 Reiskarimian N, Zhou J, Krishnaswamy H. A CMOS Passive LPTV Nonmagnetic Circulator and Its Application in a Full-Duplex Receiver Ieee Journal of Solid-State Circuits. 52: 1358-1372. DOI: 10.1109/Jssc.2017.2647924  0.5
2016 Reiskarimian N, Krishnaswamy H. Magnetic-free non-reciprocity based on staggered commutation. Nature Communications. 7: 11217. PMID 27079524 DOI: 10.1038/Ncomms11217  0.405
2016 Bhat R, Krishnaswamy H. Design Tradeoffs and Predistortion of Digital Cartesian RF-Power-DAC Transmitters Ieee Transactions On Circuits and Systems Ii-Express Briefs. 63: 1039-1043. DOI: 10.1109/Tcsii.2016.2548279  0.434
2016 Reiskarimian N, Zhou J, Chuang TH, Krishnaswamy H. Analysis and Design of Two-Port $N$ - Path Bandpass Filters With Embedded Phase Shifting Ieee Transactions On Circuits and Systems Ii-Express Briefs. 63: 728-732. DOI: 10.1109/Tcsii.2016.2530338  0.424
2016 Krishnaswamy H, Zussman G. 1 Chip 2x the bandwidth Ieee Spectrum. 53: 38-54. DOI: 10.1109/Mspec.2016.7498157  0.395
2016 Zhang L, Natarajan A, Krishnaswamy H. Scalable Spatial Notch Suppression in Spatio-Spectral-Filtering MIMO Receiver Arrays for Digital Beamforming Ieee Journal of Solid-State Circuits. 51: 3152-3166. DOI: 10.1109/Jssc.2016.2600579  0.46
2016 Dinc T, Chakrabarti A, Krishnaswamy H. A 60 GHz CMOS Full-Duplex Transceiver and Link with Polarization-Based Antenna and RF Cancellation Ieee Journal of Solid-State Circuits. DOI: 10.1109/Jssc.2015.2507367  0.506
2016 Krishnaswamy H, Zhang L. Analog and RF Interference Mitigation for Integrated MIMO Receiver Arrays Proceedings of the Ieee. 104: 561-575. DOI: 10.1109/JPROC.2016.2519885  0.449
2016 Zhou J, Reiskarimian N, Krishnaswamy H. 9.8 Receiver with integrated magnetic-free N-path-filter-based non-reciprocal circulator and baseband self-interference cancellation for full-duplex wireless Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 59: 178-180. DOI: 10.1109/ISSCC.2016.7417965  0.39
2016 Zhang L, Natarajan A, Krishnaswamy H. 9.2 A scalable 0.1-to-1.7GHz spatio-spectral-filtering 4-element MIMO receiver array with spatial notch suppression enabling digital beamforming Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 59: 166-167. DOI: 10.1109/ISSCC.2016.7417959  0.357
2016 Reiskarimian N, Krishnaswamy H. Magnetic-free non-reciprocity based on staggered commutation Nature Communications. 7. DOI: 10.1038/ncomms11217  0.3
2016 Silva-Martinez J, Karşılayan Aİ, Hu J, Krishnaswamy H. Special Issue on the 57th International Midwest Symposium on Circuits and Systems Analog Integrated Circuits and Signal Processing. 88: 181-183. DOI: 10.1007/S10470-016-0776-3  0.44
2016 Tripurari K, Zhang L, Xu Y, Gidony D, Jovanovic B, Krishnaswamy H, Kinget P. RF channelizer architectures using 3-way iterative down conversion for concurrent or fast-switching spectrum analysis Analog Integrated Circuits and Signal Processing. 88: 185-206. DOI: 10.1007/S10470-016-0740-2  0.378
2015 Zhou J, Krishnaswamy H. Recent developments in fully-integrated RF self-interference cancellation for frequency-division and full-duplex radios Ieee Vehicular Technology Conference. 2015. DOI: 10.1109/VTCSpring.2015.7146045  0.381
2015 Marašević J, Zhou J, Krishnaswamy H, Zhong Y, Zussman G. Resource allocation and rate gains in practical full-duplex systems Performance Evaluation Review. 43: 109-122. DOI: 10.1109/Tnet.2016.2575016  0.319
2015 Bhat R, Chakrabarti A, Krishnaswamy H. Large-scale power combining and mixed-signal linearizing architectures for watt-class mmWave CMOS power amplifiers Ieee Transactions On Microwave Theory and Techniques. 63: 703-718. DOI: 10.1109/Tmtt.2014.2387055  0.464
2015 Zhang L, Xu Y, Tripurari K, Kinget PR, Krishnaswamy H. Analysis and Design of a 0.6- to 10.5-GHz LNTA for Wideband Receivers Ieee Transactions On Circuits and Systems Ii: Express Briefs. 62: 431-435. DOI: 10.1109/Tcsii.2014.2385371  0.44
2015 Choi J, Aklimi E, Shi C, Tsai D, Krishnaswamy H, Shepard KL. Matching the Power, Voltage, and Size of Biological Systems: A nW-Scale, 0.023-mm3 Pulsed 33-GHz Radio Transmitter Operating From a 5 kT/q-Supply Voltage Ieee Transactions On Circuits and Systems I: Regular Papers. 62: 1950-1958. DOI: 10.1109/Tcsi.2015.2426958  0.414
2015 Zhou J, Chuang TH, Dinc T, Krishnaswamy H. Integrated Wideband Self-Interference Cancellation in the RF Domain for FDD and Full-Duplex Wireless Ieee Journal of Solid-State Circuits. 50: 3015-3031. DOI: 10.1109/Jssc.2015.2477043  0.497
2015 Zhou J, Chuang TH, Dinc T, Krishnaswamy H. Reconfigurable receiver with >20MHz bandwidth self-interference cancellation suitable for FDD, co-existence and full-duplex applications Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 58: 342-343. DOI: 10.1109/ISSCC.2015.7063066  0.339
2015 Chakrabarti A, Krishnaswamy H. Multi-output stacked class-E millimetre-wave power amplifiers in 45 nm silicon-on-insulator metal-oxide-semiconductor: theory and implementation Iet Microwaves, Antennas and Propagation. 9: 1425-1435. DOI: 10.1049/Iet-Map.2015.0108  0.395
2014 Chakrabarti A, Krishnaswamy H. High-power high-efficiency class-E-like stacked mmWave PAs in SOI and Bulk CMOS: Theory and implementation Ieee Transactions On Microwave Theory and Techniques. 62: 1686-1704. DOI: 10.1109/Tmtt.2014.2327919  0.428
2014 Williams DF, Corson P, Sharma J, Krishnaswamy H, Tai W, George Z, Ricketts DS, Watson PM, Dacquay E, Voinigescu SP. Calibrations for millimeter-wave silicon transistor characterization Ieee Transactions On Microwave Theory and Techniques. 62: 658-666. DOI: 10.1109/Tmtt.2014.2300839  0.345
2014 Chuang THJ, Krishnaswamy H. An RF instantaneous-hop frequency synthesizer based on a zero-initial-phase-error multi-modulus divider Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 433-436. DOI: 10.1109/RFIC.2014.6851760  0.32
2014 Bhat R, Krishnaswamy H. A watt-level 2.4 GHz RF I/Q power DAC transmitter with integrated mixed-domain FIR filtering of quantization noise in 65 nm CMOS Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 413-416. DOI: 10.1109/RFIC.2014.6851755  0.365
2014 Sharma J, Dinc T, Krishnaswamy H. A 200GHz power mixer in 130nm-CMOS employing nonlinearity engineering Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 347-350. DOI: 10.1109/RFIC.2014.6851738  0.333
2014 Sharma J, Dinc T, Krishnaswamy H. A 134 GHz +4 dBm Frequency Doubler at f\max in 130 nm CMOS Ieee Microwave and Wireless Components Letters. 24: 784-786. DOI: 10.1109/Lmwc.2014.2348494  0.432
2014 Zhu J, Krishnaswamy H, Kinget PR. Field-Programmable LNAs With Interferer-Reflecting Loop for Input Linearity Enhancement Ieee Journal of Solid-State Circuits. DOI: 10.1109/Jssc.2014.2364835  0.47
2014 Zhou J, Chakrabarti A, Kinget PR, Krishnaswamy H. Low-noise active cancellation of transmitter leakage and transmitter noise in broadband wireless receivers for FDD/Co-existence Ieee Journal of Solid-State Circuits. 49: 3046-3062. DOI: 10.1109/Jssc.2014.2359914  0.38
2014 Zhou J, Kinget PR, Krishnaswamy H. 20.6 A blocker-resilient wideband receiver with low-noise active two-point cancellation of >0dBm TX leakage and TX noise in RX band for FDD/Co-existence Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 57: 352-353. DOI: 10.1109/ISSCC.2014.6757466  0.33
2014 Choi J, Aklimi E, Roseman J, Tsai D, Krishnaswamy H, Shepard KL. Matching the power density and potentials of biological systems: A 3.1-nW, 130-mV, 0.023-mm3 pulsed 33-GHz radio transmitter in 32-nm SOI CMOS Proceedings of the Ieee 2014 Custom Integrated Circuits Conference, Cicc 2014. DOI: 10.1109/CICC.2014.6945985  0.352
2013 Williams DF, Corson P, Sharma J, Krishnaswamy H, Tai W, George Z, Ricketts D, Watson P, Dacquay E, Voinigescu SP. Calibration-kit design for millimeter-wave silicon integrated circuits Ieee Transactions On Microwave Theory and Techniques. 61: 2685-2694. DOI: 10.1109/Tmtt.2013.2265685  0.376
2013 Sharma J, Krishnaswamy H. 216- and 316-GHz 45-nm SOI CMOS signal sources based on a maximum-gain ring oscillator topology Ieee Transactions On Microwave Theory and Techniques. 61: 492-504. DOI: 10.1109/Tmtt.2012.2230019  0.479
2013 Yang T, Tripurari K, Krishnaswamy H, Kinget PR. A 0.5GHz-1.5GHz order scalable harmonic rejection mixer Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 411-414. DOI: 10.1109/RFIC.2013.6569618  0.385
2013 Bhat R, Chakrabarti A, Krishnaswamy H. Large-scale power-combining and linearization in watt-class mmWave CMOS power amplifiers Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 283-286. DOI: 10.1109/RFIC.2013.6569583  0.353
2013 Zhu J, Krishnaswamy H, Kinget PR. A DC-9.5GHz noise-canceling distributed LNA in 65nm CMOS Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 177-180. DOI: 10.1109/RFIC.2013.6569554  0.344
2013 Chakrabarti A, Krishnaswamy H. Design considerations for stacked Class-E-like mmWave high-speed power DACs in CMOS Ieee Mtt-S International Microwave Symposium Digest. DOI: 10.1109/MWSYM.2013.6697742  0.352
2012 Sharma J, Krishnaswamy H. 215GHz CMOS signal source based on a Maximum Gain Ring Oscillator topology Ieee Mtt-S International Microwave Symposium Digest. DOI: 10.1109/MWSYM.2012.6259775  0.355
2012 Chen J, Bhat R, Krishnaswamy H. A compact fully integrated high-efficiency 5GHz stacked class-E PA in 65nm CMOS based on transformer-based charging acceleration Technical Digest - Ieee Compound Semiconductor Integrated Circuit Symposium, Csic. DOI: 10.1109/CSICS.2012.6340115  0.318
2012 Chakrabarti A, Krishnaswamy H. High power, high efficiency stacked mmWave Class-E-like power amplifiers in 45nm SOI CMOS Proceedings of the Custom Integrated Circuits Conference. DOI: 10.1109/CICC.2012.6330562  0.342
2010 Krishnaswamy H, Hashemi H. A 4-channel 4-beam 24-to-26GHz spatio-temporal RAKE radar transceiver in 90nm CMOS for vehicular radar applications Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 53: 214-215. DOI: 10.1109/ISSCC.2010.5433954  0.516
2010 Krishnaswamy H, Valdes-Garcia A, Lai JW. A silicon-based, all-passive, 60GHz, 4-element, phased-array beamformer featuring a differential, reflection-type phase shifter Ieee International Symposium On Phased Array Systems and Technology. 225-232. DOI: 10.1109/ARRAY.2010.5613365  0.421
2009 Hashemi H, Krishnaswamy H. Challenges and opportunities in ultra-wideband antenna-array transceivers for imaging Proceedings - 2009 Ieee International Conference On Ultra-Wideband, Icuwb 2009. 586-591. DOI: 10.1109/ICUWB.2009.5288818  0.551
2008 Krishnaswamy H, Hashemi H. Effect of process mismatches on integrated CMOS phased arrays based on multiphase tuned ring oscillators Ieee Transactions On Microwave Theory and Techniques. 56: 1305-1315. DOI: 10.1109/Tmtt.2008.923344  0.643
2008 Krishnaswamy H, Hashemi H. A variable-phase ring oscillator and PLL architecture for integrated phased array transceivers Ieee Journal of Solid-State Circuits. 43: 2446-2463. DOI: 10.1109/Jssc.2008.2005445  0.639
2008 Krishnaswamy H, Hashemi H. A 4-channel 24-27 GHz UWB phased array transmitter in 0.13μm CMOS for vehicular radar Proceedings of the Custom Integrated Circuits Conference. 753-756. DOI: 10.1109/CICC.2007.4405839  0.636
2007 Krishnaswamy H, Hashemi H. A rigorous phase noise analysis of tuned ring oscillators Proceedings - 2007 Ieee Radio and Wireless Symposium, Rws. 43-46. DOI: 10.1109/RWS.2007.351753  0.554
2007 Krishnaswamy H, Hashemi H. A fully integrated 24GHz 4-channel phased-array transceiver in 0.13μm CMOS based on a variable-phase ring oscillator and PLL architecture Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 124-125+591+111. DOI: 10.1109/ISSCC.2007.373619  0.637
2006 Roderick J, Krishnaswamy H, Newton K, Hashemi H. Silicon-based ultra-wideband beam-forming Ieee Journal of Solid-State Circuits. 41: 1726-1738. DOI: 10.1109/Jssc.2006.877257  0.61
2006 Krishnaswamy H, Hashemi H. Inductor- and transformer-based integrated RF oscillators: A comparative study Proceedings of the Custom Integrated Circuits Conference. 381-384. DOI: 10.1109/CICC.2006.320954  0.556
2005 Roderick JD, Krishnaswamy H, Newton K, Hashemi H. A 4-bit ultra-wideband beamformer with 4ps true time delay resolution Proceedings of the Custom Integrated Circuits Conference. 2005: 800-803. DOI: 10.1109/CICC.2005.1568791  0.358
2005 Krishnaswamy H, Hashemi H. A 26 GHz coplanar stripline-based current sharing CMOS oscillator Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 127-130.  0.593
Show low-probability matches.