Xuefeng Hua, Ph.D.

Affiliations: 
2005 University of Maryland, College Park, College Park, MD 
Google:
"Xuefeng Hua"
Mean distance: 3011.56
 

Parents

Sign in to add mentor
Gottlieb Oehrlein grad student 2005 University of Maryland
 (Mechanistic studies of plasma -surface interactions during nanoscale patterning of advanced electronic materials using plasma.)
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Kuo MS, Pal AR, Oehrlein GS, et al. (2010) Mechanistic study of ultralow k-compatible carbon dioxide in situ photoresist ashing processes. II. Interaction with preceding fluorocarbon plasma ultralow k etching processes Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: 961-967
Kuo MS, Hua X, Oehrlein GS, et al. (2010) Influence of C4 F8 /Ar -based etching and H 2 -based remote plasma ashing processes on ultralow k materials modifications Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: 284-294
Ling L, Hua X, Zheng L, et al. (2008) Studies of fluorocarbon film deposition and its correlation with etched trench sidewall angle by employing a gap structure using C4F 8Ar and CF4/H2 based capacitively coupled plasmas Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 11-22
Hua X, Engelmann S, Oehrlein GS, et al. (2006) Studies of plasma surface interactions during short time plasma etching of 193 and 248 nm photoresist materials Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 24: 1850-1858
Hua X, Kuo MS, Oehrlein GS, et al. (2006) Damage of ultralow k materials during photoresist mask stripping process Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 24: 1238-1247
Oehrlein GS, Hua X, Stolz C, et al. (2006) Nanoscale layer etching by short-time exposure of substrates to gas discharges using moving patterned shutter Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 24: 279-283
Lazzeri P, Hua X, Oehrlein G, et al. (2006) ToF-SIMS and AFM studies of low-k dielectric etching in fluorocarbon plasmas Applied Surface Science. 252: 7186-7189
Lazzeri P, Hua X, Oehrlein GS, et al. (2005) Porosity-induced effects during C4 F8 90% Ar plasma etching of silica-based ultralow- k dielectrics Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 23: 1491-1498
Zheng L, Ling L, Hua X, et al. (2005) Studies of film deposition in fluorocarbon plasmas employing a small gap structure Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 23: 634-642
Hua X, Stolz C, Oehrlein GS, et al. (2005) Plasma-surface interactions of nanoporous silica during plasma-based pattern transfer using C 4F 8 and C 4F 8/Ar gas mixtures Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 23: 151-164
See more...