Shisheng Xiong, Ph.D. - Publications

Affiliations: 
2011 Chemical Engineering University of New Mexico, Albuquerque, NM, United States 
Area:
Materials Science Engineering, Nanoscience

22 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2024 Hu XH, Zhang R, Zhang X, Wu Z, Zhou J, Li W, Xiong S. Focused solar annealing for block copolymer fast self-assembly. Heliyon. 10: e24016. PMID 38293481 DOI: 10.1016/j.heliyon.2024.e24016  0.31
2020 Jacobberger RM, Thapar V, Wu GP, Chang TH, Saraswat V, Way AJ, Jinkins KR, Ma Z, Nealey PF, Hur SM, Xiong S, Arnold MS. Boundary-directed epitaxy of block copolymers. Nature Communications. 11: 4151. PMID 32814775 DOI: 10.1038/S41467-020-17938-3  0.393
2020 Zhao Y, Zhang Z, Zhang H, Zhou Y, Weng Y, Xiong S. Three-Dimensional PrGO-Based Sandwich Composites With MoS Flowers as Stuffings for Superior Lithium Storage. Frontiers in Chemistry. 8: 94. PMID 32185158 DOI: 10.3389/Fchem.2020.00094  0.309
2020 Zhang Z, Xu P, Weng Y, Zhou Y, Sun Z, Xiong S. Nanotube network arrays with nickel oxide canopies as flexible high-energy anodes for lithium storage Journal of Alloys and Compounds. 847: 156366. DOI: 10.1016/J.Jallcom.2020.156366  0.31
2019 Li D, Zhou C, Xiong S, Qu XP, Craig GSW, Nealey PF. Enhanced microphase separation of thin films of low molecular weight block copolymer by the addition of an ionic liquid. Soft Matter. PMID 31755518 DOI: 10.1039/C9Sm02039J  0.344
2019 Zhou C, Dolejsi M, Xiong S, Ren J, Ashley EM, Craig G, Nealey PF. Combining block copolymer lithography with self-aligned double patterning to achieve 10.5 nm full-pitch line/space patterns. Nanotechnology. PMID 31342916 DOI: 10.1088/1361-6528/Ab34F6  0.383
2018 Xiong S, Li D, Hur S, Craig GSW, Arges CG, Qu X, Nealey PF. The Solvent Distribution Effect on the Self-Assembly of Symmetric Triblock Copolymers during Solvent Vapor Annealing Macromolecules. 51: 7145-7151. DOI: 10.1021/Acs.Macromol.8B01275  0.391
2017 Zhang Q, Matsuoka F, Suh HS, Beaucage PA, Xiong S, Smilgies DM, Tan KW, Werner JG, Nealey PF, Wiesner UB. Pathways to Mesoporous Resin/Carbon Thin Films with Alternating Gyroid Morphology. Acs Nano. PMID 29236479 DOI: 10.1021/Acsnano.7B06436  0.389
2017 Chang TH, Xiong S, Liu CC, Liu D, Nealey PF, Ma Z. The One-Pot Directed Assembly of Cylinder-Forming Block Copolymer on Adjacent Chemical Patterns for Bimodal Patterning. Macromolecular Rapid Communications. PMID 28749034 DOI: 10.1002/Marc.201700285  0.438
2017 Suh HS, Kim DH, Moni P, Xiong S, Ocola LE, Zaluzec NJ, Gleason KK, Nealey PF. Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat. Nature Nanotechnology. PMID 28346456 DOI: 10.1038/Nnano.2017.34  0.4
2017 Yang GW, Wu GP, Chen X, Xiong S, Arges CG, Ji S, Nealey PF, Lu XB, Darensbourg DJ, Xu ZK. Directed Self-Assembly of Polystyrene-b-poly(propylene carbonate) on Chemical Patterns via Thermal Annealing for Next-Generation Lithography. Nano Letters. PMID 28068100 DOI: 10.1021/Acs.Nanolett.6B05059  0.377
2016 Segal-Peretz T, Ren J, Xiong S, Khaira G, Bowen A, Ocola LE, Divan R, Doxastakis M, Ferrier NJ, de Pablo JJ, Nealey PF. Quantitative Three Dimensional Characterization of Block Copolymer Directed Self -Assembly on Combined Chemical and Topographical Pre-Patterned Templates. Acs Nano. PMID 28005329 DOI: 10.1021/Acsnano.6B05657  0.439
2016 Ren J, Ocola LE, Divan R, Czaplewski DA, Segal-Peretz T, Xiong S, Kline RJ, Arges CG, Nealey PF. Post-directed-self-assembly membrane fabrication for in situ analysis of block copolymer structures. Nanotechnology. 27: 435303. PMID 27659775 DOI: 10.1088/0957-4484/27/43/435303  0.377
2016 Xiong S, Chapuis YA, Wan L, Gao H, Li X, Ruiz R, Nealey PF. Directed self-assembly of high-chi block copolymer for nano fabrication of bit patterned media via solvent annealing. Nanotechnology. 27: 415601. PMID 27606926 DOI: 10.1088/0957-4484/27/41/415601  0.435
2016 Chang TH, Xiong S, Jacobberger RM, Mikael S, Suh HS, Liu CC, Geng D, Wang X, Arnold MS, Ma Z, Nealey PF. Directed self-assembly of block copolymer films on atomically-thin graphene chemical patterns. Scientific Reports. 6: 31407. PMID 27528258 DOI: 10.1038/Srep31407  0.416
2016 Xiong S, Wan L, Ishida Y, Chapuis YA, Craig GS, Ruiz R, Nealey PF. Directed Self-Assembly of Triblock Copolymer on Chemical Patterns for Sub-10-nm Nanofabrication Via Solvent Annealing. Acs Nano. PMID 27482932 DOI: 10.1021/Acsnano.6B03667  0.422
2014 Khaira GS, Qin J, Garner GP, Xiong S, Wan L, Ruiz R, Jaeger HM, Nealey PF, De Pablo JJ. Evolutionary optimization of directed self-assembly of triblock copolymers on chemically patterned substrates Acs Macro Letters. 3: 747-752. DOI: 10.1021/Mz5002349  0.378
2013 Huang Y, Kim TW, Xiong S, Mawst LJ, Kuech TF, Nealey PF, Dai Y, Wang Z, Guo W, Forbes D, Hubbard SM, Nesnidal M. InAs nanowires grown by metal-organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning. Nano Letters. 13: 5979-84. PMID 24274630 DOI: 10.1021/Nl403163X  0.372
2013 Xiong S, Dunphy DR, Wilkinson DC, Jiang Z, Strzalka J, Wang J, Su Y, de Pablo JJ, Brinker CJ. Revealing the interfacial self-assembly pathway of large-scale, highly-ordered, nanoparticle/polymer monolayer arrays at an air/water interface. Nano Letters. 13: 1041-6. PMID 23360394 DOI: 10.1021/Nl304253Y  0.681
2011 Xiong S, Molecke R, Bosch M, Schunk PR, Brinker CJ. Transformation of a close-packed Au nanoparticle/polymer monolayer into a large area array of oriented Au nanowires via E-beam promoted uniaxial deformation and room temperature sintering. Journal of the American Chemical Society. 133: 11410-3. PMID 21711045 DOI: 10.1021/Ja202446T  0.444
2010 Xiong S, Miao X, Spencer J, Khripin C, Luk TS, Brinker CJ. Integration of a close-packed quantum dot monolayer with a photonic-crystal cavity via interfacial self-assembly and transfer. Small (Weinheim An Der Bergstrasse, Germany). 6: 2126-9. PMID 20818625 DOI: 10.1002/Smll.201000897  0.656
2008 Pang J, Xiong S, Jaeckel F, Sun Z, Dunphy D, Brinker CJ. Free-standing, patternable nanoparticle/polymer monolayer arrays formed by evaporation induced self-assembly at a fluid interface. Journal of the American Chemical Society. 130: 3284-5. PMID 18290650 DOI: 10.1021/Ja710994M  0.702
Show low-probability matches.