T.P Ma - Publications

Affiliations: 
Yale University, New Haven, CT 
Area:
Electronics and Electrical Engineering

145 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2018 Bhuiyan MA, Zhou H, Chang S, Lou X, Gong X, Jiang R, Gong H, Zhang EX, Won C, Lim J, Lee J, Gordon RG, Reed RA, Fleetwood DM, Ye P, ... Ma T, et al. Total-Ionizing-Dose Responses of GaN-Based HEMTs With Different Channel Thicknesses and MOSHEMTs With Epitaxial MgCaO as Gate Dielectric Ieee Transactions On Nuclear Science. 65: 46-52. DOI: 10.1109/Tns.2017.2774928  0.414
2018 Bhuiyan MA, Zhou H, Jiang R, Zhang EX, Fleetwood DM, Ye PD, Ma T. Charge Trapping in Al2O3/$\beta$ -Ga2O3-Based MOS Capacitors Ieee Electron Device Letters. 39: 1022-1025. DOI: 10.1109/Led.2018.2841899  0.398
2018 Gong N, Ma T. A Study of Endurance Issues in HfO 2 -Based Ferroelectric Field Effect Transistors: Charge Trapping and Trap Generation Ieee Electron Device Letters. 39: 15-18. DOI: 10.1109/Led.2017.2776263  0.353
2017 Ren S, Bhuiyan MA, Zhang J, Lou X, Si M, Gong X, Jiang R, Ni K, Wan X, Zhang EX, Gordon RG, Reed RA, Fleetwood DM, Ye P, Ma TP. Total Ionizing Dose (TID) Effects in GaAs MOSFETs With La-Based Epitaxial Gate Dielectrics Ieee Transactions On Nuclear Science. 64: 164-169. DOI: 10.1109/Tns.2016.2620993  0.454
2016 Chang SJ, Kang HS, Lee JH, Yang J, Bhuiyan M, Jo YW, Cui S, Ma TP. Investigation of channel mobility in AlGaN/GaN high-electron-mobility transistors Japanese Journal of Applied Physics. 55. DOI: 10.7567/Jjap.55.044104  0.359
2016 Gong N, Ma T. Why Is FE–HfO 2 More Suitable Than PZT or SBT for Scaled Nonvolatile 1-T Memory Cell? A Retention Perspective Ieee Electron Device Letters. 37: 1123-1126. DOI: 10.1109/Led.2016.2593627  0.319
2015 Ren S, Si M, Ni K, Wan X, Chen J, Chang S, Sun X, Zhang EX, Reed RA, Fleetwood DM, Ye P, Cui S, Ma TP. Total Ionizing Dose (TID) Effects in Extremely Scaled Ultra-Thin Channel Nanowire (NW) Gate-All-Around (GAA) InGaAs MOSFETs Ieee Transactions On Nuclear Science. 62: 2888-2893. DOI: 10.1109/Tns.2015.2497090  0.32
2015 Ni K, Zhang EX, Samsel IK, Schrimpf RD, Reed RA, Fleetwood DM, Sternberg AL, McCurdy MW, Ren S, Ma TP, Dong L, Zhang JY, Ye PD. Charge Collection Mechanisms in GaAs MOSFETs Ieee Transactions On Nuclear Science. 62: 2752-2759. DOI: 10.1109/Tns.2015.2495203  0.373
2013 Samsel IK, Zhang EX, Hooten NC, Funkhouser ED, Bennett WG, Reed RA, Schrimpf RD, McCurdy MW, Fleetwood DM, Weller RA, Vizkelethy G, Sun X, Ma TP, Saadat OI, Palacios T. Charge collection mechanisms in AlGaN/GaN MOS high electron mobility transistors Ieee Transactions On Nuclear Science. 60: 4439-4445. DOI: 10.1109/Tns.2013.2289383  0.452
2013 Sun X, Ma TP. Electrical Characterization of Gate Traps in FETs With Ge and III–V Channels Ieee Transactions On Device and Materials Reliability. 13: 463-479. DOI: 10.1109/Tdmr.2013.2276755  0.464
2013 Long RD, Jackson CM, Yang J, Hazeghi A, Hitzman C, Majety S, Arehart AR, Nishi Y, Ma TP, Ringel SA, McIntyre PC. Interface trap evaluation of Pd/Al2O3/GaN metal oxide semiconductor capacitors and the influence of near-interface hydrogen Applied Physics Letters. 103. DOI: 10.1063/1.4827102  0.328
2013 Sun X, Saadat OI, Chang-Liao KS, Palacios T, Cui S, Ma TP. Study of gate oxide traps in HfO2/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors by use of ac transconductance method Applied Physics Letters. 102: 103504. DOI: 10.1063/1.4795717  0.302
2012 Sun X, Cui S, Alian A, Brammertz G, Merckling C, Lin D, Ma TP. AC Transconductance Dispersion (ACGD): A Method to Profile Oxide Traps in MOSFETs Without Body Contact Ieee Electron Device Letters. 33: 438-440. DOI: 10.1109/Led.2011.2181318  0.384
2011 Ma TP. Inelastic electron tunneling spectroscopy (IETS) study of high-k gate dielectrics Science in China Series F: Information Sciences. 54: 980-989. DOI: 10.1007/S11432-011-4228-5  0.357
2010 Reiner JW, Cui S, Liu Z, Wang M, Ahn CH, Ma TP. Inelastic electron tunneling spectroscopy study of thin gate dielectrics. Advanced Materials (Deerfield Beach, Fla.). 22: 2962-8. PMID 20354976 DOI: 10.1002/Adma.200904311  0.322
2010 Cui S, Peng C, Zhang W, Sun X, Yang J, Liu Z, Kornblum L, Eizenberg M, Ma TP. High-Quality $\hbox{Al}_{2}\hbox{O}_{3}$ for Low-Voltage High-Speed High-Temperature (Up to 250 $^{\circ}\hbox{C}$) Nonvolatile Memory Technology Ieee Electron Device Letters. 31: 1443-1445. DOI: 10.1109/Led.2010.2072902  0.404
2010 Liu Z, Cui S, Kornblum L, Eizenberg M, Chang M, Ma TP. Inelastic electron tunneling spectroscopy study of ultrathin Al2O3–TiO2 dielectric stack on Si Applied Physics Letters. 97: 202905. DOI: 10.1063/1.3518478  0.362
2010 Lubow A, Ismail-Beigi S, Ma TP. Comparison of drive currents in metal-oxide-semiconductor field-effect transistors made of Si, Ge, GaAs, InGaAs, and InAs channels Applied Physics Letters. 96. DOI: 10.1063/1.3367708  0.437
2009 Reiner JW, Posadas A, Wang M, Sidorov M, Krivokapic Z, Walker FJ, Ma TP, Ahn CH. Electrical properties and interfacial structure of epitaxial LaAlO 3 on Si (001) Journal of Applied Physics. 105. DOI: 10.1063/1.3148243  0.365
2008 Li N, Harmon ES, Salzman DB, Zakharov DN, Jeon JH, Stach E, Woodall JM, Wang XW, Ma TP, Walker F. Molecular beam epitaxy growth of InAs and In0.8 Ga0.2 As channel materials on GaAs substrate for metal oxide semiconductor field effect transistor applications Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1187-1190. DOI: 10.1116/1.2912086  0.401
2008 Yeh C, Holtzclaw K, Ramaswamy N, Gowda S, Brewer R, Graettinger T, Min K, Mouli C, Parat K, Ma TP. Time-Resolved Programming Current Measurement and Modeling for nand -type Nanodot Flash Cell Ieee Electron Device Letters. 29: 778-780. DOI: 10.1109/Led.2008.2000599  0.334
2008 Zheng JF, Tsai W, Li WP, Wang XW, Ma TP. Demonstration of enhancement-mode GaAs metal-insulator-semiconductor field effect transistor with channel inversion using Si3N4 as gate dielectric Applied Physics Letters. 92: 232904. DOI: 10.1063/1.2943148  0.407
2008 Li N, Harmon ES, Hyland J, Salzman DB, Ma TP, Xuan Y, Ye PD. Properties of InAs metal-oxide-semiconductor structures with atomic-layer-deposited Al2O3 Dielectric Applied Physics Letters. 92: 143507. DOI: 10.1063/1.2908926  0.446
2008 Reiner JW, Posadas A, Wang M, Ma TP, Ahn CH. Growth and structural properties of crystalline LaAlO3 on Si (0 0 1) Microelectronic Engineering. 85: 36-38. DOI: 10.1016/J.Mee.2007.07.004  0.428
2008 Liu Y, Shim SI, Wang XW, Lee L, Tsai M, Ma TP. High-quality high-k HfON formed with plasma jet assisted PVD process and application as tunnel dielectric for flash memories Microelectronic Engineering. 85: 45-48. DOI: 10.1016/J.Mee.2007.01.008  0.403
2008 Ma TP. Electrical characterization of high-k gate dielectrics on semiconductors Applied Surface Science. 255: 672-675. DOI: 10.1016/J.Apsusc.2008.07.010  0.448
2008 Ma TP. Novel electrical characterization for advanced CMOS gate dielectrics Science in China, Series F: Information Sciences. 51: 774-779. DOI: 10.1007/S11432-008-0068-3  0.446
2007 Ma TP. Electrical characterization of advanced gate dielectrics for scaled CMOS technology Ecs Transactions. 8: 93-98. DOI: 10.1149/1.2767292  0.349
2007 Zheng JF, Tsai W, Lin TD, Lee YJ, Chen CP, Hong M, Kwo J, Cui S, Ma TP. Ga2O3(Gd2O3)∕Si3N4 dual-layer gate dielectric for InGaAs enhancement mode metal-oxide-semiconductor field-effect transistor with channel inversion Applied Physics Letters. 91: 223502. DOI: 10.1063/1.2817742  0.437
2007 Yeh CC, Ma TP, Ramaswamy N, Rocklein N, Gealy D, Graettinger T, Min K. Frenkel-Poole trap energy extraction of atomic layer deposited Al 2O3 and HfxAlyO thin films Applied Physics Letters. 91. DOI: 10.1063/1.2786021  0.324
2007 Robinson SJ, Perkins CL, Shen TC, Tucker JR, Schenkel T, Wang XW, Ma TP. Low-temperature charge transport in Ga-acceptor nanowires implanted by focused-ion beams Applied Physics Letters. 91. DOI: 10.1063/1.2786014  0.336
2007 Li WP, Wang XW, Liu YX, Shim SI, Ma TP. Demonstration of unpinned GaAs surface and surface inversion with gate dielectric made of Si3N4 Applied Physics Letters. 90: 193503. DOI: 10.1063/1.2737374  0.392
2007 Wang M, He W, Ma TP, Edge LF, Schlom DG. Electron tunneling spectroscopy study of amorphous films of the gate dielectric candidates LaAlO3 and LaScO3 Applied Physics Letters. 90: 053502. DOI: 10.1063/1.2437128  0.404
2006 Chen A, Young M, Li W, Ma TP, Woodall JM. Metal-insulator-semiconductor structure on low-temperature grown GaAs Applied Physics Letters. 89: 233514. DOI: 10.1063/1.2404605  0.358
2005 Ma TP, Bu HM, Wang XW, Song LY, He W, Wang M, Tseng H-, Tobin PJ. Special reliability features for Hf-based high-/spl kappa/ gate dielectrics Ieee Transactions On Device and Materials Reliability. 5: 36-44. DOI: 10.1109/Tdmr.2005.845329  0.425
2005 Ma TP, He W, Wang M. Inelastic Electron Tunnelling Spectroscopy (IETS) of High‐k Dielectrics Characterization and Metrology For Ulsi Technology. 788: 73-78. DOI: 10.1063/1.2062941  0.306
2005 Wang M, He W, Ma TP. Electron tunneling spectroscopy study of traps in high-k gate dielectrics: Determination of physical locations and energy levels of traps Applied Physics Letters. 86: 192113. DOI: 10.1063/1.1924893  0.374
2004 Zhu W, Han J, Ma TP. Mobility measurement and degradation mechanisms of MOSFETs made with ultrathin high-k dielectrics Ieee Transactions On Electron Devices. 51: 98-105. DOI: 10.1109/Ted.2003.821384  0.359
2004 Zhu WJ, Ma TP. Temperature dependence of channel mobility in HfO/sub 2/-gated NMOSFETs Ieee Electron Device Letters. 25: 89-91. DOI: 10.1109/Led.2003.822648  0.35
2003 Liu YX, Caragianis-Broadbridge C, Lehman AH, McGuinness J, Ma TP. Preparation, Microstructure and Physical Characteristics of Ferroelectric Pb 5 Ge 3 O 11 Thin Films for Memory Application Mrs Proceedings. 784. DOI: 10.1557/Proc-784-C11.9  0.325
2003 Ma TP. Gate dielectrics for Si, SiC, and GaN as synthesized by jet vapor deposition Microelectronics Journal. 34: 363-370. DOI: 10.1016/S0026-2692(03)00026-0  0.454
2002 Wang XW, Bu HM, Laube BL, Caragianis-Broadbridge C, Ma TP. Improving 4H-SiC/SiO2 interface properties by depositing ultra-thin Si nitride layer prior to formation of SiO2 and annealing Materials Science Forum. 389: 993-996. DOI: 10.4028/Www.Scientific.Net/Msf.389-393.993  0.392
2002 Zhu WJ, Ma TP, Zafar S, Tamagawa T. Charge trapping in ultrathin hafnium oxide Ieee Electron Device Letters. 23: 597-599. DOI: 10.1109/Led.2002.804029  0.436
2002 Ma TP, Han J. Why is nonvolatile ferroelectric memory field-effect transistor still elusive? Ieee Electron Device Letters. 23: 386-388. DOI: 10.1109/Led.2002.1015207  0.316
2002 Zhu WJ, Ma T, Tamagawa T, Kim J, Di Y. Current transport in metal/hafnium oxide/silicon structure Ieee Electron Device Letters. 23: 97-99. DOI: 10.1109/55.981318  0.388
2002 She M, King T, Hu C, Zhu W, Luo Z, Han J, Ma T. JVD silicon nitride as tunnel dielectric in p-channel flash memory Ieee Electron Device Letters. 23: 91-93. DOI: 10.1109/55.981316  0.365
2001 Melik-Martirosian A, Ma TP. Lateral profiling of interface traps and oxide charge in MOSFET devices: Charge pumping versus DCIV Ieee Transactions On Electron Devices. 48: 2303-2309. DOI: 10.1109/16.954469  0.411
2001 Gaffey B, Guido LJ, Wang XW, Ma TP. High-quality oxide/nitride/oxide gate insulator for GaN MIS structures Ieee Transactions On Electron Devices. 48: 458-464. DOI: 10.1109/16.906436  0.46
2001 Han J, Guo X, Broadbridge CC, Ma TP, Ils A, Cantoni M, Sallese J, Fazan P. Buffer layer dependence of memory effects for SrBi2Ta2O9 on Si Integrated Ferroelectrics. 34: 65-72. DOI: 10.1080/10584580108012875  0.438
2001 Luo ZJ, Guo X, Ma TP, Tamagawa T. Temperature dependence of gate currents in thin Ta2O5 and TiO2 films Applied Physics Letters. 79: 2803-2804. DOI: 10.1063/1.1412823  0.425
2000 Zhu WJ, Wang XW, Ma TP, Tucker JB, Rao MV. Highly durable SiC nMISFET's at 450°c Materials Science Forum. 338: 1311-1314. DOI: 10.4028/Www.Scientific.Net/Msf.338-342.1311  0.389
2000 Campbell SA, He B, Smith R, Ma T, Hoilien N, Taylor C, Gladfelter WL. Group IVB oxides as high permittivity gate insulators Materials Research Society Symposium - Proceedings. 606: 23-32. DOI: 10.1557/Proc-606-23  0.423
2000 Ils A, Cantoni M, Sallese J-, Fazan P, Han J-, Guo X, Ma TP. Transmission electron microscope investigation of SrBi2Ta2O9 memory capacitors on Si with silicon dioxide and silicon nitride as buffers Journal of Vacuum Science & Technology B. 18: 1915-1918. DOI: 10.1116/1.1303853  0.443
2000 Yeo YC, Lu Q, Lee WC, King T, Hu C, Wang X, Guo X, Ma TP. Direct tunneling gate leakage current in transistors with ultrathin silicon nitride gate dielectric Ieee Electron Device Letters. 21: 540-542. DOI: 10.1109/55.877204  0.431
2000 Wang XW, Luo ZJ, Ma T. High-temperature characteristics of high-quality SiC MIS capacitors with O/N/O gate dielectric Ieee Transactions On Electron Devices. 47: 458-463. DOI: 10.1109/16.822294  0.432
1999 Karamcheti A, Watt V, Luo T, Brady D, Shaapur F, Vishnubhotla L, Gale G, Huff H, Jackson M, Torres K, Diebold A, Guan J, Gilmer M, Brown G, Bersuker G, ... ... Ma T, et al. Electrical and Physical Characterization of Ultrathin Silicon Oxynitride Gate Dielectric Films Formed by the Jet Vapor Deposition Technique Mrs Proceedings. 592. DOI: 10.1557/Proc-592-307  0.401
1999 Ma TP. JVD Silicon Nitride and Titanium Oxide as Advanced Gate Dielectrics Mrs Proceedings. 567: 73. DOI: 10.1557/Proc-567-73  0.427
1999 Lu W, Wang X, Hammond R, Kuliev A, Koester S, Chu J, Ismail K, Ma T, Adesida I. p-Type SiGe transistors with low gate leakage using SiN gate dielectric Ieee Electron Device Letters. 20: 514-516. DOI: 10.1109/55.791927  0.371
1999 Khare M, Wang XW, Ma TP. Transconductance in nitride-gate or oxynitride-gate transistors Ieee Electron Device Letters. 20: 57-59. DOI: 10.1109/55.737573  0.444
1999 Shi Y, Wang X, Ma T. Electrical properties of high-quality ultrathin nitride/oxide stack dielectrics Ieee Transactions On Electron Devices. 46: 362-368. DOI: 10.1109/16.740903  0.385
1998 Wang XW, Takahashi Y, Ma TP, Cui GJ, Tamagawa T, Halpern B, Schmitt JJ. Electrical Properties and Reliability of Vapor Jet Deposited Oxide on SiC Materials Science Forum. 865-868. DOI: 10.4028/Www.Scientific.Net/Msf.264-268.865  0.368
1998 Shi Y, Ma TP, Prasad S, Dhanda S. Polarity-dependent tunneling current and oxide breakdown in dual-gate CMOSFETs Ieee Electron Device Letters. 19: 391-393. DOI: 10.1109/55.720196  0.324
1998 Shi Y, Wang X, Ma TP. Tunneling leakage current in ultrathin (<4 nm) nitride/oxide stack dielectrics Ieee Electron Device Letters. 19: 388-390. DOI: 10.1109/55.720195  0.387
1998 Guo X, Ma TP. Tunneling leakage current in oxynitride: dependence on oxygen/nitrogen content Ieee Electron Device Letters. 19: 207-209. DOI: 10.1109/55.678546  0.452
1998 Shi Y, Ma TP, Prasad S, Dhanda S. Polarity dependent gate tunneling currents in dual-gate CMOSFETs Ieee Transactions On Electron Devices. 45: 2355-2360. DOI: 10.1109/16.726656  0.343
1998 Ma TP. Making silicon nitride film a viable gate dielectric Ieee Transactions On Electron Devices. 45: 680-690. DOI: 10.1109/16.661229  0.51
1998 Chen C, Ma T. Direct lateral profiling of hot-carrier-induced oxide charge and interface traps in thin gate MOSFET's Ieee Transactions On Electron Devices. 45: 512-520. DOI: 10.1109/16.658688  0.406
1998 Han J, Guo X, Ma TP. Memory effects of SrBi2Ta2O9 capacitor on silicon with a silicon nitride buffer Integrated Ferroelectrics. 22: 213-221. DOI: 10.1080/10584589808208043  0.43
1998 Lye W, Ma T, Barker RC, Hasegawa E, Hu Y, Kuehne J, Frystak D. Tunneling spectroscopy of the silicon metal-oxide-semiconductor system Characterization and Metrology For Ulsi Technology. 449: 261-265. DOI: 10.1063/1.56806  0.42
1997 Han J, Gu J, Ma TP. SrBi2Ta2O9(SBT) thin films prepared by electrostatic spray Integrated Ferroelectrics. 14: 229-235. DOI: 10.1080/10584589708019996  0.332
1997 Lye WK, Hasegawa E, Ma TP, Barker RC, Hu Y, Kuehne J, Frystak D. Quantitative inelastic tunneling spectroscopy in the silicon metal-oxide-semiconductor system Applied Physics Letters. 71: 2523-2525. DOI: 10.1063/1.120106  0.404
1997 Ma TP. Gate dielectric properties of silicon nitride films formed by jet vapor deposition Applied Surface Science. 259-267. DOI: 10.1016/S0169-4332(97)80091-8  0.492
1996 Mallik A, Wang XW, Ma TP, Cui GJ, Tamagawa T, Halpern BL, Schmitt JJ. Interface Traps In Jet-Vapor-Deposited Silicon Nitride-Silicon Capacitors Journal of Applied Physics. 79: 8507-8511. DOI: 10.1063/1.362529  0.454
1995 San KT, Kaya, Ma TP. Effects of Erase Source Bias on Flash EPROM Device Reliability Ieee Transactions On Electron Devices. 42: 150-159. DOI: 10.1109/16.370023  0.406
1994 Tsai M, Ma TP, Hook TB. Channel length dependence of random telegraph signal in sub-micron MOSFET's Ieee Electron Device Letters. 15: 504-506. DOI: 10.1109/55.338418  0.321
1994 Zhang B, Balasinski A, Ma TP. Hot-carrier effects on gate-induced-drain-leakage (GIDL) current in thin-film SOI/NMOSFET's Ieee Electron Device Letters. 15: 169-171. DOI: 10.1109/55.291597  0.373
1994 Tsai M, Zhang B, Ma T, Wang LK. Random telegraph signals in accumulation-mode SOI/nMOSFETs Ieee Electron Device Letters. 15: 135-137. DOI: 10.1109/55.285405  0.356
1994 Tsai M, Ma T. The impact of device scaling on the current fluctuations in MOSFET's Ieee Transactions On Electron Devices. 41: 2061-2068. DOI: 10.1109/16.333823  0.341
1993 Ma TP. Reliability issues concerning thin gate SiO2 and SiO2/Si interface for ULSI applications Nuclear Inst. and Methods in Physics Research, B. 74: 295-300. DOI: 10.1016/0168-583X(93)95064-C  0.348
1993 Ma TP. Generation and transformation of interface traps in MOS structures Microelectronic Engineering. 22: 197-200. DOI: 10.1016/0167-9317(93)90156-Y  0.345
1993 Balasiński A, Tsai MH, Vishnubhotla L, Ma TP, Tseng HH, Tobin PJ. Interface properties in fluorinated (100) and (111)Si/SiO 2 MOSFETs Microelectronic Engineering. 22: 97-100. DOI: 10.1016/0167-9317(93)90139-V  0.461
1993 Anderson WR, Lombardi DR, Mitev PH, Ma TP, Wheeler RG. Determination of Si/SiO2 interfacial roughness using weak localization Microelectronic Engineering. 22: 43-46. DOI: 10.1016/0167-9317(93)90127-Q  0.377
1992 Ma TP. Effects of Fluorine on MOS Properties Mrs Proceedings. 262. DOI: 10.1557/Proc-262-741  0.302
1992 Wang X, Balasinski A, Ma TP, Nishioka Y. Pre‐Oxidation Fluorine Implantation into Si Process‐Related MOS Characteristics Journal of the Electrochemical Society. 139: 238-241. DOI: 10.1149/1.2069176  0.36
1992 Ma TP. Metal-oxide-semiconductor gate oxide reliability and the role of fluorine Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 10: 705-712. DOI: 10.1116/1.577714  0.304
1992 Yoshino A, Ma TP, Okumura K. Hot-Carrier Effects in Fully Depleted Submicrometer NMOS/SIMOX as Influenced by Back Interface Degradation Ieee Electron Device Letters. 13: 522-524. DOI: 10.1109/55.192821  0.31
1992 San KT, Ma TP. Determination of Trapped Oxide Charge in Flash EPROM's and MOSFET's with Thin Oxides Ieee Electron Device Letters. 13: 439-441. DOI: 10.1109/55.192784  0.302
1992 Zhang B, Yoshino A, Ma TP. Single-Transistor-Latch-Induced Degradation of Front- and Back-Channel Thin-Film SOI Transistors Ieee Electron Device Letters. 13: 282-284. DOI: 10.1109/55.145054  0.367
1992 Tsai M-, Ma TP. Effect of radiation-induced interface traps on 1/f noise in MOSFET's Ieee Transactions On Nuclear Science. 39: 2178-2185. DOI: 10.1109/23.211419  0.356
1992 Balasinski A, Ma TP. Ionizing radiation damage near CMOS transistor channel edges Ieee Transactions On Nuclear Science. 39: 1998-2003. DOI: 10.1109/23.211396  0.359
1992 Hwang CL, Chen BA, Ma TP, Golz JW, Di YD. Ferroelectric Pb(Zr,Ti)03 Thin Films Prepared by Gas Jet Deposition, Integrated Ferroelectrics. 2: 221-229. DOI: 10.1080/10584589208215745  0.3
1992 Vishnubhotla L, Ma TP. Energy shift of (100)Si/SiO2 interface traps resulting from avalanche hole injection Journal of Applied Physics. 71: 1058-1060. DOI: 10.1063/1.350399  0.379
1992 Tsai M, Muto H, Ma TP. Random telegraph signals arising from fast interface states in metal‐SiO2‐Si transistors Applied Physics Letters. 61: 1691-1693. DOI: 10.1063/1.108453  0.342
1992 Anderson WR, Wheeler RG, Ma TP. Observation of interface traps in the silicon conduction band at the (100)Si/SiO2 interface at 4.2 K Applied Physics Letters. 61: 1107-1109. DOI: 10.1063/1.107683  0.433
1992 Wang XW, Ma TP. Passivation of (111) Si/SiO2 interface by fluorine Applied Physics Letters. 60: 2634-2636. DOI: 10.1063/1.106878  0.435
1992 Balasinski A, Chen W, Ma T. Effects of combined X-ray irradiation and hot-electron injection on NMOS transistors Journal of Electronic Materials. 21: 737-743. DOI: 10.1007/Bf02655604  0.352
1991 Liu ZH, Nee P, Ko PK, Hu C, Sodini CG, Gross BJ, Ma TP, Cheng YC. A Comparative Study of High-Field Endurance for Reoxidized-Nitrided and Fluorinated Oxides The Japan Society of Applied Physics. DOI: 10.7567/Ssdm.1991.A-2-2  0.33
1991 Nishioka Y, Kato M, Ohji Y, Ma TP. Time‐dependent changes of parasitic effects induced by high‐field electron injection in metal‐oxide‐semiconductor transistors Journal of Applied Physics. 69: 528-530. DOI: 10.1063/1.347700  0.405
1991 Haneji N, Vishnubhotla L, Ma TP. Possible observation of Pb0 and Pb1 centers at irradiated (100)Si/SiO2 interface from electrical measurements Applied Physics Letters. 59: 3416-3418. DOI: 10.1063/1.105693  0.365
1991 Vishnubhotla L, Ma TP, Tseng H, Tobin PJ. Interface trap generation and electron trapping in fluorinated SiO2 Applied Physics Letters. 59: 3595-3597. DOI: 10.1063/1.105643  0.443
1991 Nishioka Y, Itoga T, Ohyu K, Ma T. Improving hot-electron hardness of narrow channel MOSFETs by fluorine implantation Solid-State Electronics. 34: 1197-1200. DOI: 10.1016/0038-1101(91)90057-6  0.34
1990 Wang Y, Ma TP, Barker RC. Early stages of interface-trap transformation in metal-SiO 2-(100)Si structures Journal of Applied Physics. 68: 2520-2522. DOI: 10.1063/1.346471  0.39
1990 Vishnubhotla L, Chen W, Ma TP. ac conductance measurements on radiation‐damaged (100) Si/SiO2 interface after defect transformation Applied Physics Letters. 57: 1778-1780. DOI: 10.1063/1.104064  0.371
1990 Yu B, Arai E, Nishioka Y, Ohji Y, Iwata S, Ma TP. Investigation of fluorine in SiO2 and on Si surface by the 19F(p,αγ)16O reaction, secondary‐ion mass spectrometry, and x‐ray photoelectron spectroscopy Applied Physics Letters. 56: 1430-1432. DOI: 10.1063/1.103206  0.353
1989 Fedynyshyn TH, Grynkewich GW, Chen BA, Ma TP. The Effect of Metal Masks on the Plasma Etch Rate of Silicon Journal of the Electrochemical Society. 136: 1799-1804. DOI: 10.1149/1.2097015  0.322
1989 Nishioka Y, Ohyu K, Ohji Y, Kato M, Silva EFd, Ma TP. Radiation hardened micron and submicron MOSFETs containing fluorinated oxides Ieee Transactions On Nuclear Science. 36: 2116-2123. DOI: 10.1109/23.45413  0.424
1989 Wang Y, Ma TP, Barker RC. Orientation dependence of interface-trap transformation Ieee Transactions On Nuclear Science. 36: 1784-1791. DOI: 10.1109/23.45370  0.387
1989 Ma TP. Interface trap transformation in radiation or hot-electron damaged MOS structures Semiconductor Science and Technology. 4: 1061-1079. DOI: 10.1088/0268-1242/4/12/009  0.398
1989 Nishioka Y, Ohyu K, Ohji Y, Natsuaki N, Mukai K, Ma TP. The effect of fluorine implantation on the interface radiation hardness of Si‐gate metal‐oxide‐semiconductor transistors Journal of Applied Physics. 66: 3909-3912. DOI: 10.1063/1.344012  0.402
1989 Wang Y, Ma TP, Barker RC. Interface-trap transformation at radiation-damaged (111)Si/SiO2 interface Applied Physics Letters. 54: 2339-2341. DOI: 10.1063/1.101522  0.4
1989 Nishioka Y, Ohji Y, Mukai K, Sugano T, Wang Y, Ma TP. Dielectric characteristics of fluorinated ultradry SiO2 Applied Physics Letters. 54: 1127-1129. DOI: 10.1063/1.101479  0.43
1988 Silva EFd, Nishioka Y, Ma TP. Radiation Response of MOS Structures Containing Oxides Grown in a NF3/O2 Oxidizing Ambient The Japan Society of Applied Physics. DOI: 10.7567/Ssdm.1988.B-1-1  0.325
1988 Wang XW, Wang Y, Nishioka Y, Silva EFd, Ma TP. Radiation‐induced enhancement of minority‐carrier lifetimes in metal/SiO2/Si capacitors having oxides grown in O2 with trichloroethane additive Applied Physics Letters. 53: 592-594. DOI: 10.1063/1.99866  0.418
1988 Nishioka Y, Ma TP. Interface traps at midgap during defect transformation in (100) Si/SiO2 Applied Physics Letters. 53: 1744-1746. DOI: 10.1063/1.99776  0.393
1988 Wang Y, Nishioka Y, Ma TP, Barker RC. Radiation and hot-electron effects on SiO2/Si interfaces with oxides grown in O2 containing small amounts of trichloroethane Applied Physics Letters. 52: 573-575. DOI: 10.1063/1.99397  0.426
1988 Nishioka Y, Da Silva EF, Ma TP. Equivalence between interface traps in SiO2/Si generated by radiation damage and hot-electron injection Applied Physics Letters. 52: 720-722. DOI: 10.1063/1.99358  0.424
1988 Kaya C, Ma TP, Barker RC. Properties of plasma-deposited Si-rich silicon nitride films in current enhancement injectors Journal of Applied Physics. 64: 3958-3964. DOI: 10.1063/1.341353  0.373
1988 Kaya C, Ma TP, Chen TC, Barker RC. Properties of Si-rich SiNx:H films prepared by plasma-enhanced chemical vapor deposition Journal of Applied Physics. 64: 3949-3957. DOI: 10.1063/1.341352  0.402
1987 Fedynyshyn TH, Grynkewich GW, Hook TB, Liu M, Ma T. The Effect of Aluminum vs. Photoresist Masking on the Etching Rates of Silicon and Silicon Dioxide in CF 4 / O 2 Plasmas Journal of the Electrochemical Society. 134: 206-209. DOI: 10.1149/1.2100408  0.356
1987 Fedynyshyn TH, Grynkewich GW, Ma T. Mask Dependent Etch Rates II The Effect of Aluminum vs. Photoresist Masking on the Etch Rates of Silicon and Silicon Dioxide in Fluorine Containing Plasmas Journal of the Electrochemical Society. 134: 2580-2585. DOI: 10.1149/1.2100246  0.31
1987 da Silva EF, Nishiokat Y, Ma TP. Radiation response of mos capacitors containing fluorinated oxides Ieee Transactions On Nuclear Science. 34: 1190-1195. DOI: 10.1109/TNS.1987.4337451  0.344
1987 Nishioka Y, da Silva EF, Ma TP. Radiation-Induced interface traps in mo/sio 2/si capacitors Ieee Transactions On Nuclear Science. 34: 1166-1171. DOI: 10.1109/TNS.1987.4337447  0.334
1987 Nishioka Y, Da Silva EF, Ma TP. Time-Dependent Evolution of Interface Traps in Hot-Electron Damaged Metal/SiO<inf>2</inf>/Si Capacitors Ieee Electron Device Letters. 8: 566-568. DOI: 10.1109/Edl.1987.26730  0.449
1987 Da Silva EF, Nishioka Y, Ma TP. Effects of trichloroethane during oxide growth on radiation-induced interface traps in Metal/SiO2/Si capacitors Applied Physics Letters. 51: 1262-1264. DOI: 10.1063/1.98699  0.313
1987 Da Silva EF, Nishioka Y, Ma TP. Two distinct interface trap peaks in radiation-damaged metal/SiO 2/Si structures Applied Physics Letters. 51: 270-272. DOI: 10.1063/1.98470  0.34
1986 Hook TB, Ma TP. Hot‐electron induced interface traps in metal/SiO2/Si capacitors: The effect of gate‐induced strain Applied Physics Letters. 48: 1208-1210. DOI: 10.1063/1.96983  0.426
1985 Hook TB, Ma TP. Perimeter‐related current in high‐field tunneling into SiO2 Applied Physics Letters. 47: 417-419. DOI: 10.1063/1.96131  0.378
1984 Holland S, Chen IC, Ma TP, Hu C. On physical models for gate oxide breakdown Ieee Electron Device Letters. 5: 302-305. DOI: 10.1109/Edl.1984.25925  0.432
1984 Wei CC, Ma TP. Reduction of apparent dopant concentration in the surface space charge layer of oxidized silicon by ionizing radiation Applied Physics Letters. 45: 900-902. DOI: 10.1063/1.95407  0.43
1983 Chen TC, Ma TP, Barker RC. Infrared transparent and electrically conductive thin film of In 2O3 Applied Physics Letters. 43: 901-903. DOI: 10.1063/1.94199  0.326
1983 Chin MR, Ma TP. Gate-width dependence of radiation-induced interface traps in metal/SiO2/Si devices Applied Physics Letters. 42: 883-885. DOI: 10.1063/1.93774  0.443
1982 Chin MR, Ma TP. Photocurrent in thermal SiO2 under x-ray irradiation: Significance of contact injection Journal of Applied Physics. 53: 3673-3679. DOI: 10.1063/1.331152  0.416
1981 Lai SK, Dressendorfer PV, Ma TP, Barker RC. Optically induced bistable states in metal/tunnel-oxide/semiconductor (MTOS) junctions Applied Physics Letters. 38: 41-44. DOI: 10.1063/1.92126  0.328
1981 Pan CA, Ma TP. Highly transparent conductive films of thermally evaporated In 2 O 3 Journal of Electronic Materials. 10: 43-57. DOI: 10.1007/Bf02654901  0.374
1980 Pan CA, Ma TP. High‐quality transparent conductive indium oxide films prepared by thermal evaporation Applied Physics Letters. 37: 163-165. DOI: 10.1063/1.91809  0.394
1980 Dressendorfer PV, Lai SK, Barker RC, Ma TP. Processing dependence of metal/tunnel-oxide/silicon junctions Applied Physics Letters. 36: 850-852. DOI: 10.1063/1.91346  0.409
1980 Ma TP, Chin MR. Removal of radiation-induced electron traps in MOS structures by rf annealing Applied Physics Letters. 36: 81-84. DOI: 10.1063/1.91283  0.381
1979 Ma TP, Miyauchi K. MIS structures based on spin‐on SiO2 on GaAs Applied Physics Letters. 34: 88-90. DOI: 10.1063/1.90570  0.384
1979 Ma WH, Ma TP. The effect of RF annealing upon electron-beam irradiated MIS structures Solid-State Electronics. 22: 663-666. DOI: 10.1016/0038-1101(79)90141-2  0.402
1977 Scoggan GA, Ma TP. Effects of electron‐beam radiation on MOS structures as influenced by the silicon dopant Journal of Applied Physics. 48: 294-300. DOI: 10.1063/1.323376  0.396
1976 Ma TP, Yun BH, Dimaria DJ, Scoggan GA. Effects of electron-beam irradiation on the properties of CVD Si 3N4 films in MNOS structures Journal of Applied Physics. 47: 1599-1604. DOI: 10.1063/1.322777  0.434
1975 Ma TP, Scoggan G, Leone R. Comparison of interface-state generation by 25-keV electron beam irradiation in p-type and n-type MOS capacitors Applied Physics Letters. 27: 61-63. DOI: 10.1063/1.88366  0.364
1975 Ma TP. Oxide thickness dependence of electron-induced surface states in MOS structures Applied Physics Letters. 27: 615-617. DOI: 10.1063/1.88308  0.381
1974 Ma TP, Barker RC. Effect of gamma-ray irradiation on the surface states of MOS tunnel junctions Journal of Applied Physics. 45: 317-321. DOI: 10.1063/1.1662978  0.439
1974 Ma TP, Barker RC. Surface-state spectra from thick-oxide MOS tunnel junctions Solid State Electronics. 17: 913-929. DOI: 10.1016/0038-1101(74)90044-6  0.408
Show low-probability matches.