Year |
Citation |
Score |
2022 |
Jing Y, Zhao EW, Goulet MA, Bahari M, Fell EM, Jin S, Davoodi A, Jónsson E, Wu M, Grey CP, Gordon RG, Aziz MJ. In situ electrochemical recomposition of decomposed redox-active species in aqueous organic flow batteries. Nature Chemistry. PMID 35710986 DOI: 10.1038/s41557-022-00967-4 |
0.486 |
|
2022 |
Jin S, Wu M, Jing Y, Gordon RG, Aziz MJ. Low energy carbon capture via electrochemically induced pH swing with electrochemical rebalancing. Nature Communications. 13: 2140. PMID 35440649 DOI: 10.1038/s41467-022-29791-7 |
0.485 |
|
2021 |
Goulet MA, Tong L, Pollack DA, Tabor DP, Odom SA, Aspuru-Guzik A, Kwan EE, Gordon RG, Aziz MJ. Correction to "Extending the Lifetime of Organic Flow Batteries via Redox State Management". Journal of the American Chemical Society. PMID 34406739 DOI: 10.1021/jacs.1c05529 |
0.766 |
|
2020 |
Li Y, Xu Z, Liu Y, Jin S, Fell EM, Wang B, Gordon RG, Aziz MJ, Yang Z, Xu T. Functioning water-insoluble ferrocenes for aqueous organic flow battery via host-guest inclusion. Chemsuschem. PMID 33295127 DOI: 10.1002/cssc.202002516 |
0.463 |
|
2020 |
Lou X, Gong X, Kim SB, Gordon RG. Epitaxial growth of MgxCa1−xO on 4H–SiC(0001) and β-Ga2O3 wide band gap semiconductors with atomic layer deposition Journal of Materials Research. 35: 831-839. DOI: 10.1557/Jmr.2019.376 |
0.62 |
|
2020 |
Jing Y, Wu M, Wong AA, Fell EM, Jin S, Pollack DA, Kerr EF, Gordon RG, Aziz MJ. In situ electrosynthesis of anthraquinone electrolytes in aqueous flow batteries Green Chemistry. 22: 6084-6092. DOI: 10.1039/D0Gc02236E |
0.532 |
|
2020 |
Jin S, Wu M, Gordon RG, Aziz MJ, Kwabi DG. pH swing cycle for CO2 capture electrochemically driven through proton-coupled electron transfer Energy & Environmental Science. 13: 3706-3722. DOI: 10.1039/D0Ee01834A |
0.479 |
|
2020 |
Wu M, Jing Y, Wong AA, Fell EM, Jin S, Tang Z, Gordon RG, Aziz MJ. Extremely Stable Anthraquinone Negolytes Synthesized from Common Precursors Chem. 6: 1432-1442. DOI: 10.1016/J.Chempr.2020.03.021 |
0.517 |
|
2020 |
Jin S, Fell EM, Vina‐Lopez L, Jing Y, Michalak PW, Gordon RG, Aziz MJ. Near Neutral pH Redox Flow Battery with Low Permeability and Long‐Lifetime Phosphonated Viologen Active Species Advanced Energy Materials. 10: 2000100. DOI: 10.1002/Aenm.202000100 |
0.488 |
|
2019 |
Kim SB, Zhao X, Davis LM, Jayaraman A, Yang C, Gordon RG. Atomic Layer Deposition of Tin Monosulfide Using Vapor from Liquid Bis(N, N'-diisopropylformamidinato)tin(II) and H2S. Acs Applied Materials & Interfaces. PMID 31722176 DOI: 10.1021/Acsami.9B16933 |
0.416 |
|
2019 |
Um HD, Solanki A, Jayaraman A, Gordon RG, Habbal F. Electrostatically Doped Silicon Nanowire Arrays for Multispectral Photodetectors. Acs Nano. PMID 31577128 DOI: 10.1021/Acsnano.9B05659 |
0.326 |
|
2019 |
Lou X, Gong X, Feng J, Gordon RG. Band offset analysis of ALD La2O3 on GaAs (111), (110) and (100) surfaces for epitaxial growth. Acs Applied Materials & Interfaces. PMID 31294539 DOI: 10.1021/Acsami.9B08436 |
0.602 |
|
2019 |
Tong L, Davis LM, Gong X, Feng J, Beh ES, Gordon RG. Synthesis of volatile, reactive coinage metal 5,5-bicyclic amidinates with enhanced thermal stability for chemical vapor deposition. Dalton Transactions (Cambridge, England : 2003). PMID 31062813 DOI: 10.1039/C9Dt01202H |
0.782 |
|
2019 |
Goulet MA, Tong L, Pollack DA, Tabor DP, Kwan EE, Aspuru-Guzik A, Gordon RG, Aziz MJ. Extending the Lifetime of Organic Flow Batteries via Redox State Management. Journal of the American Chemical Society. PMID 30945536 DOI: 10.1021/Jacs.8B13295 |
0.785 |
|
2019 |
Chua D, Kim SB, Sinsermsuksakul P, Gordon R. Atomic layer deposition of energy band tunable tin germanium oxide electron transport layer for the SnS-based solar cells with 400 mV open-circuit voltage Applied Physics Letters. 114: 213901. DOI: 10.1063/1.5098766 |
0.805 |
|
2019 |
Chua D, Kim SB, Gordon R. Enhancement of the open circuit voltage of Cu2O/Ga2O3 heterojunction solar cells through the mitigation of interfacial recombination Aip Advances. 9: 55203. DOI: 10.1063/1.5096283 |
0.438 |
|
2019 |
Crespilho FN, Sedenho GC, De Porcellinis D, Kerr E, Granados-Focil S, Gordon RG, Aziz MJ. Non-corrosive, low-toxicity gel-based microbattery from organic and organometallic molecules Journal of Materials Chemistry A. 7: 24784-24787. DOI: 10.1039/C9Ta08685D |
0.479 |
|
2019 |
Tabor DP, Gómez-Bombarelli R, Tong L, Gordon RG, Aziz MJ, Aspuru-Guzik A. Mapping the frontiers of quinone stability in aqueous media: implications for organic aqueous redox flow batteries Journal of Materials Chemistry A. 7: 12833-12841. DOI: 10.1039/C9Ta03219C |
0.775 |
|
2019 |
Tong L, Goulet M, Tabor DP, Kerr EF, De Porcellinis D, Fell EM, Aspuru-Guzik A, Gordon RG, Aziz MJ. Molecular Engineering of an Alkaline Naphthoquinone Flow Battery Acs Energy Letters. 4: 1880-1887. DOI: 10.1021/Acsenergylett.9B01321 |
0.778 |
|
2019 |
Jin S, Jing Y, Kwabi DG, Ji Y, Tong L, De Porcellinis D, Goulet M, Pollack DA, Gordon RG, Aziz MJ. A Water-Miscible Quinone Flow Battery with High Volumetric Capacity and Energy Density Acs Energy Letters. 4: 1342-1348. DOI: 10.1021/Acsenergylett.9B00739 |
0.777 |
|
2019 |
Chua D, Kim SB, Li K, Gordon R. Low Temperature Chemical Vapor Deposition of Cuprous Oxide Thin Films Using a Copper(I) Amidinate Precursor Acs Applied Energy Materials. 2: 7750-7756. DOI: 10.1021/acsaem.9b01683 |
0.306 |
|
2019 |
Liu Y, Goulet M, Tong L, Liu Y, Ji Y, Wu L, Gordon RG, Aziz MJ, Yang Z, Xu T. A Long-Lifetime All-Organic Aqueous Flow Battery Utilizing TMAP-TEMPO Radical Chem. 5: 1861-1870. DOI: 10.1016/J.Chempr.2019.04.021 |
0.784 |
|
2019 |
Li W, Kerr E, Goulet M, Fu H, Zhao Y, Yang Y, Veyssal A, He J, Gordon RG, Aziz MJ, Jin S. A Long Lifetime Aqueous Organic Solar Flow Battery Advanced Energy Materials. 9: 1900918. DOI: 10.1002/Aenm.201900918 |
0.509 |
|
2019 |
Park M, Beh ES, Fell EM, Jing Y, Kerr EF, Porcellinis DD, Goulet M, Ryu J, Wong AA, Gordon RG, Cho J, Aziz MJ. A High Voltage Aqueous Zinc–Organic Hybrid Flow Battery Advanced Energy Materials. 9: 1900694. DOI: 10.1002/Aenm.201900694 |
0.514 |
|
2019 |
Ji Y, Goulet M, Pollack DA, Kwabi DG, Jin S, Porcellinis DD, Kerr EF, Gordon RG, Aziz MJ. A Phosphonate‐Functionalized Quinone Redox Flow Battery at Near‐Neutral pH with Record Capacity Retention Rate Advanced Energy Materials. 9: 1900039. DOI: 10.1002/Aenm.201900039 |
0.488 |
|
2018 |
Kim SB, Jayaraman A, Chua D, Davis LM, Zheng SL, Zhao X, Lee S, Gordon RG. Obtaining a Low and Wide Atomic Layer Deposition Window (150-275 °C) for In O Films Using an In Amidinate and H O. Chemistry (Weinheim An Der Bergstrasse, Germany). PMID 29869812 DOI: 10.1002/Chem.201802317 |
0.399 |
|
2018 |
Bhuiyan MA, Zhou H, Chang S, Lou X, Gong X, Jiang R, Gong H, Zhang EX, Won C, Lim J, Lee J, Gordon RG, Reed RA, Fleetwood DM, Ye P, et al. Total-Ionizing-Dose Responses of GaN-Based HEMTs With Different Channel Thicknesses and MOSHEMTs With Epitaxial MgCaO as Gate Dielectric Ieee Transactions On Nuclear Science. 65: 46-52. DOI: 10.1109/Tns.2017.2774928 |
0.584 |
|
2018 |
Kwabi DG, Lin K, Ji Y, Kerr EF, Goulet M, Porcellinis DD, Tabor DP, Pollack DA, Aspuru-Guzik A, Gordon RG, Aziz MJ. Alkaline Quinone Flow Battery with Long Lifetime at pH 12 Joule. 2: 1894-1906. DOI: 10.1016/J.Joule.2018.07.005 |
0.615 |
|
2018 |
Yang Z, Tong L, Tabor DP, Beh ES, Goulet M, De Porcellinis D, Aspuru-Guzik A, Gordon RG, Aziz MJ. Flow Batteries: Alkaline Benzoquinone Aqueous Flow Battery for Large-Scale Storage of Electrical Energy (Adv. Energy Mater. 8/2018) Advanced Energy Materials. 8: 1870034. DOI: 10.1002/Aenm.201870034 |
0.775 |
|
2017 |
Tong L, Chen Q, Wong AA, Gómez-Bombarelli R, Aspuru-Guzik A, Gordon RG, Aziz MJ. UV-Vis spectrophotometry of quinone flow battery electrolyte for in situ monitoring and improved electrochemical modeling of potential and quinhydrone formation. Physical Chemistry Chemical Physics : Pccp. PMID 29165500 DOI: 10.1039/C7Cp05881K |
0.792 |
|
2017 |
Feng J, Gong X, Lou X, Gordon RG. Direct-liquid-evaporation Chemical Vapor Deposition of Nanocrystalline Cobalt Metal for Nanoscale Copper Interconnect Encapsulation. Acs Applied Materials & Interfaces. PMID 28266209 DOI: 10.1021/Acsami.7B01327 |
0.63 |
|
2017 |
Yang J, Feng J, Li K, Bhandari H, Li Z, Gordon RG. Quantitative Evaluation of Cobalt Disilicide/Si Interfacial Roughness Ecs Journal of Solid State Science and Technology. 6. DOI: 10.1149/2.0271705Jss |
0.425 |
|
2017 |
Heasley RL, Chang CM, Davis LM, Liu K, Gordon RG. Vapor deposition of copper(I) bromide films via a two-step conversion process Journal of Vacuum Science and Technology. 35. DOI: 10.1116/1.4967726 |
0.409 |
|
2017 |
Ren S, Bhuiyan MA, Zhang J, Lou X, Si M, Gong X, Jiang R, Ni K, Wan X, Zhang EX, Gordon RG, Reed RA, Fleetwood DM, Ye P, Ma TP. Total Ionizing Dose (TID) Effects in GaAs MOSFETs With La-Based Epitaxial Gate Dielectrics Ieee Transactions On Nuclear Science. 64: 164-169. DOI: 10.1109/Tns.2016.2620993 |
0.614 |
|
2017 |
Zhou H, Lou X, Sutherlin K, Summers J, Kim SB, Chabak KD, Gordon RG, Ye PD. DC and RF Performance of AlGaN/GaN/SiC MOSHEMTs With Deep Sub-Micron T-Gates and Atomic Layer Epitaxy MgCaO as Gate Dielectric Ieee Electron Device Letters. 38: 1409-1412. DOI: 10.1109/Led.2017.2746338 |
0.62 |
|
2017 |
Zhou H, Lou X, Kim SB, Chabak KD, Gordon RG, Ye PD. Enhancement-Mode AlGaN/GaN Fin-MOSHEMTs on Si Substrate With Atomic Layer Epitaxy MgCaO Ieee Electron Device Letters. 38: 1294-1297. DOI: 10.1109/Led.2017.2731993 |
0.628 |
|
2017 |
Yang C, Sun L, Brandt RE, Kim SB, Zhao X, Feng J, Buonassisi T, Gordon RG. Measurement of contact resistivity at metal-tin sulfide (SnS) interfaces Journal of Applied Physics. 122: 045303. DOI: 10.1063/1.4992086 |
0.329 |
|
2017 |
Li K, Feng J, Kwak J, Yang J, Gordon RG. Pure and conformal CVD nickel and nickel monosilicide in high-aspect-ratio structures analyzed by atom probe tomography Journal of Applied Physics. 121: 175301. DOI: 10.1063/1.4982670 |
0.412 |
|
2017 |
Beh E, Porcellinis DD, Gracia RL, Xia K, Gordon RG, Aziz MJ. A Neutral pH Aqueous Organic–Organometallic Redox Flow Battery with Extremely High Capacity Retention Acs Energy Letters. 2: 639-644. DOI: 10.1021/Acsenergylett.7B00019 |
0.512 |
|
2017 |
Beh ES, Tong L, Gordon RG. Synthesis of 5,5-Bicyclic Amidines as Ligands for Thermally Stable Vapor Deposition Precursors Organometallics. 36: 1453-1456. DOI: 10.1021/Acs.Organomet.6B00954 |
0.749 |
|
2017 |
Yang Z, Tong L, Tabor DP, Beh ES, Goulet M, De Porcellinis D, Aspuru-Guzik A, Gordon RG, Aziz MJ. Alkaline Benzoquinone Aqueous Flow Battery for Large-Scale Storage of Electrical Energy Advanced Energy Materials. 8: 1702056. DOI: 10.1002/Aenm.201702056 |
0.777 |
|
2016 |
Lou X, Zhou H, Kim SB, Alghamdi S, Gong X, Feng J, Wang X, Ye PD, Gordon RG. Epitaxial Growth of MgxCa1-xO on GaN by Atomic Layer Deposition. Nano Letters. 16: 7650-7654. PMID 27960444 DOI: 10.1021/Acs.Nanolett.6B03638 |
0.718 |
|
2016 |
Steinmann V, Chakraborty R, Rekemeyer P, Hartman K, Brandt RE, Polizzotti A, Yang C, Moriarty T, Gradecak S, Gordon RG, Buonassisi T. A two-step absorber deposition approach to overcome shunt losses in thin film solar cells: using tin sulfide as a proof-of-concept material system. Acs Applied Materials & Interfaces. PMID 27494110 DOI: 10.1021/Acsami.6B07198 |
0.366 |
|
2016 |
Kim SB, Yang C, Powers T, Davis LM, Lou X, Gordon RG. Synthesis of Calcium(II) Amidinate Precursors for Atomic Layer Deposition through a Redox Reaction between Calcium and Amidines. Angewandte Chemie (International Ed. in English). PMID 27351794 DOI: 10.1002/Anie.201602406 |
0.575 |
|
2016 |
Gerhardt MR, Beh ES, Tong L, Gordon RG, Aziz MJ. Comparison of Capacity Retention Rates During Cycling of Quinone-Bromide Flow Batteries Mrs Advances. 2: 431-438. DOI: 10.1557/Adv.2016.667 |
0.772 |
|
2016 |
Zhou H, Lou X, Conrad NJ, Si M, Wu H, Alghamdi S, Guo S, Gordon RG, Ye PD. High-Performance InAlN/GaN MOSHEMTs Enabled by Atomic Layer Epitaxy MgCaO as Gate Dielectric Ieee Electron Device Letters. 37: 556-559. DOI: 10.1109/Led.2016.2537198 |
0.626 |
|
2016 |
Jaramillo R, Sher M, Ofori-Okai BK, Steinmann V, Yang C, Hartman K, Nelson KA, Lindenberg AM, Gordon RG, Buonassisi T. Erratum: “Transient terahertz photoconductivity measurements of minority-carrier lifetime in tin sulfide thin films: Advanced metrology for an early stage photovoltaic material” [J. Appl. Phys. 119, 035101 (2016)] Journal of Applied Physics. 119: 249901. DOI: 10.1063/1.4954931 |
0.326 |
|
2016 |
Steinmann V, Brandt RE, Chakraborty R, Jaramillo R, Young M, Ofori-Okai BK, Yang C, Polizzotti A, Nelson KA, Gordon RG, Buonassisi T. The impact of sodium contamination in tin sulfide thin-film solar cells Apl Materials. 4. DOI: 10.1063/1.4941713 |
0.4 |
|
2016 |
Jaramillo R, Sher MJ, Ofori-Okai BK, Steinmann V, Yang C, Hartman K, Nelson KA, Lindenberg AM, Gordon RG, Buonassisi T. Transient terahertz photoconductivity measurements of minority-carrier lifetime in tin sulfide thin films: Advanced metrology for an early stage photovoltaic material Journal of Applied Physics. 119. DOI: 10.1063/1.4940157 |
0.345 |
|
2016 |
Lin K, Gómez-Bombarelli R, Beh ES, Tong L, Chen Q, Valle A, Aspuru-Guzik A, Aziz MJ, Gordon RG. A redox-flow battery with an alloxazine-based organic electrolyte Nature Energy. 1. DOI: 10.1038/Nenergy.2016.102 |
0.777 |
|
2016 |
Weimer MS, Hu B, Kraft SJ, Gordon RG, Segre CU, Hock AS. Synthetic and Spectroscopic Study of the Mechanism of Atomic Layer Deposition of Tin Dioxide Organometallics. 35: 1202-1208. DOI: 10.1021/Acs.Organomet.5B01004 |
0.698 |
|
2016 |
Gerhardt MR, Tong L, Gómez-Bombarelli R, Chen Q, Marshak MP, Galvin CJ, Aspuru-Guzik A, Gordon RG, Aziz MJ. Anthraquinone Derivatives in Aqueous Flow Batteries Advanced Energy Materials. 7: 1601488. DOI: 10.1002/Aenm.201601488 |
0.78 |
|
2015 |
Lin K, Chen Q, Gerhardt MR, Tong L, Kim SB, Eisenach L, Valle AW, Hardee D, Gordon RG, Aziz MJ, Marshak MP. Alkaline quinone flow battery. Science (New York, N.Y.). 349: 1529-32. PMID 26404834 DOI: 10.1126/Science.Aab3033 |
0.78 |
|
2015 |
Jaramillo R, Steinmann V, Yang C, Hartman K, Chakraborty R, Poindexter JR, Castillo ML, Gordon R, Buonassisi T. Making Record-efficiency SnS Solar Cells by Thermal Evaporation and Atomic Layer Deposition. Journal of Visualized Experiments : Jove. PMID 26067454 DOI: 10.3791/52705 |
0.395 |
|
2015 |
Siah SC, Brandt RE, Lim K, Schelhas LT, Jaramillo R, Heinemann MD, Chua D, Wright J, Perkins JD, Segre CU, Gordon RG, Toney MF, Buonassisi T. Dopant activation in Sn-doped Ga2O3 investigated by X-ray absorption spectroscopy Applied Physics Letters. 107. DOI: 10.1063/1.4938123 |
0.358 |
|
2015 |
Mangan NM, Brandt RE, Steinmann V, Jaramillo R, Yang C, Poindexter JR, Chakraborty R, Park HH, Zhao X, Gordon RG, Buonassisi T. Framework to predict optimal buffer layer pairing for thin film solar cell absorbers: A case study for tin sulfide/zinc oxysulfide Journal of Applied Physics. 118. DOI: 10.1063/1.4930581 |
0.335 |
|
2015 |
Chakraborty R, Steinmann V, Mangan NM, Brandt RE, Poindexter JR, Jaramillo R, Mailoa JP, Hartman K, Polizzotti A, Yang C, Gordon RG, Buonassisi T. Non-monotonic effect of growth temperature on carrier collection in SnS solar cells Applied Physics Letters. 106. DOI: 10.1063/1.4921326 |
0.302 |
|
2015 |
Zhang J, Lou X, Si M, Wu H, Shao J, Manfra MJ, Gordon RG, Ye PD. Inversion-mode GaAs wave-shaped field-effect transistor on GaAs (100) substrate Applied Physics Letters. 106. DOI: 10.1063/1.4913431 |
0.604 |
|
2015 |
Yang J, Li K, Feng J, Gordon RG. Direct-liquid-evaporation chemical vapor deposition of smooth, highly conformal cobalt and cobalt nitride thin films Journal of Materials Chemistry C. 3: 12098-12106. DOI: 10.1039/C5Tc03221K |
0.426 |
|
2015 |
Park HH, Heasley R, Sun L, Steinmann V, Jaramillo R, Hartman K, Chakraborty R, Sinsermsuksakul P, Chua D, Buonassisi T, Gordon RG. Co-optimization of SnS absorber and Zn(O,S) buffer materials for improved solar cells Progress in Photovoltaics: Research and Applications. 23: 901-908. DOI: 10.1002/Pip.2504 |
0.81 |
|
2014 |
Steinmann V, Jaramillo R, Hartman K, Chakraborty R, Brandt RE, Poindexter JR, Lee YS, Sun L, Polizzotti A, Park HH, Gordon RG, Buonassisi T. 3.88% efficient tin sulfide solar cells using congruent thermal evaporation. Advanced Materials (Deerfield Beach, Fla.). 26: 7488-92. PMID 25142203 DOI: 10.1002/Adma.201402219 |
0.342 |
|
2014 |
Lee YS, Chua D, Brandt RE, Siah SC, Li JV, Mailoa JP, Lee SW, Gordon RG, Buonassisi T. Atomic layer deposited gallium oxide buffer layer enables 1.2 V open-circuit voltage in cuprous oxide solar cells. Advanced Materials (Deerfield Beach, Fla.). 26: 4704-10. PMID 24862543 DOI: 10.1002/Adma.201401054 |
0.389 |
|
2014 |
Huskinson B, Marshak MP, Suh C, Er S, Gerhardt MR, Galvin CJ, Chen X, Aspuru-Guzik A, Gordon RG, Aziz MJ. A metal-free organic-inorganic aqueous flow battery. Nature. 505: 195-8. PMID 24402280 DOI: 10.1038/Nature12909 |
0.793 |
|
2014 |
Tomarken SL, Young AF, Lee SW, Gordon RG, Ashoori RC. Torque magnetometry of an amorphous-alumina/strontium-titanate interface Physical Review B - Condensed Matter and Materials Physics. 90. DOI: 10.1103/Physrevb.90.201113 |
0.373 |
|
2014 |
Brandt RE, Young M, Park HH, Dameron A, Chua D, Lee YS, Teeter G, Gordon RG, Buonassisi T. Band offsets of n-type electron-selective contacts on cuprous oxide (Cu2O) for photovoltaics Applied Physics Letters. 105. DOI: 10.1063/1.4905180 |
0.318 |
|
2014 |
Park HH, Jayaraman A, Heasley R, Yang C, Hartle L, Mankad R, Haight R, Mitzi DB, Gunawan O, Gordon RG. Atomic layer deposition of Al-incorporated Zn(O,S) thin films with tunable electrical properties Applied Physics Letters. 105. DOI: 10.1063/1.4901899 |
0.405 |
|
2014 |
Siah SC, Lee SW, Lee YS, Heo J, Shibata T, Segre CU, Gordon RG, Buonassisi T. X-ray absorption spectroscopy elucidates the impact of structural disorder on electron mobility in amorphous zinc-tin-oxide thin films Applied Physics Letters. 104. DOI: 10.1063/1.4884115 |
0.366 |
|
2014 |
Kim SB, Sinsermsuksakul P, Hock AS, Pike RD, Gordon RG. Synthesis of N-heterocyclic stannylene (Sn(II)) and germylene (Ge(II)) and a Sn(II) amidinate and their application as precursors for atomic layer deposition Chemistry of Materials. 26: 3065-3073. DOI: 10.1021/Cm403901Y |
0.81 |
|
2014 |
Sinsermsuksakul P, Sun L, Lee SW, Park HH, Kim SB, Yang C, Gordon RG. Overcoming efficiency limitations of SnS-based solar cells Advanced Energy Materials. DOI: 10.1002/Aenm.201400496 |
0.804 |
|
2014 |
Lee SW, Lee YS, Heo J, Siah SC, Chua D, Brandt RE, Kim SB, Mailoa JP, Buonassisi T, Gordon RG. Improved Cu2O-based solar cells using atomic layer deposition to control the Cu oxidation state at the p-n junction Advanced Energy Materials. 4. DOI: 10.1002/Aenm.201301916 |
0.371 |
|
2013 |
Lee SW, Heo J, Gordon RG. Origin of the self-limited electron densities at Al₂O₃/SrTiO₃ heterostructures grown by atomic layer deposition - oxygen diffusion model. Nanoscale. 5: 8940-4. PMID 23958890 DOI: 10.1039/C3Nr03082B |
0.335 |
|
2013 |
Wang X, Dong L, Zhang J, Liu Y, Ye PD, Gordon RG. Heteroepitaxy of La2O3 and La(2-x)Y(x)O3 on GaAs (111)A by atomic layer deposition: achieving low interface trap density. Nano Letters. 13: 594-9. PMID 23294262 DOI: 10.1021/Nl3041349 |
0.675 |
|
2013 |
Wang X, Gordon RG. Smooth, low-resistance, pinhole-free, conformal ruthenium films by pulsed chemical vapor deposition Ecs Journal of Solid State Science and Technology. 2: N41-N44. DOI: 10.1149/2.003303Jss |
0.587 |
|
2013 |
Gu JJ, Wang X, Wu H, Gordon RG, Ye PD. Variability improvement by interface passivation and EOT scaling of InGaAs nanowire MOSFETs Ieee Electron Device Letters. 34: 608-610. DOI: 10.1109/Led.2013.2248114 |
0.466 |
|
2013 |
Dong L, Wang XW, Zhang JY, Li XF, Gordon RG, Ye PD. GaAs enhancement-mode NMOSFETs enabled by atomic layer epitaxial La 1.8Y0.2O3 as dielectric Ieee Electron Device Letters. 34: 487-489. DOI: 10.1109/Led.2013.2244058 |
0.337 |
|
2013 |
Khalil MS, Stoutimore MJA, Gladchenko S, Holder AM, Musgrave CB, Kozen AC, Rubloff G, Liu YQ, Gordon RG, Yum JH, Banerjee SK, Lobb CJ, Osborn KD. Evidence for hydrogen two-level systems in atomic layer deposition oxides Applied Physics Letters. 103. DOI: 10.1063/1.4826253 |
0.415 |
|
2013 |
Sun L, Haight R, Sinsermsuksakul P, Bok Kim S, Park HH, Gordon RG. Band alignment of SnS/Zn(O,S) heterojunctions in SnS thin film solar cells Applied Physics Letters. 103. DOI: 10.1063/1.4821433 |
0.782 |
|
2013 |
Hejin Park H, Heasley R, Gordon RG. Atomic layer deposition of Zn(O,S) thin films with tunable electrical properties by oxygen annealing Applied Physics Letters. 102. DOI: 10.1063/1.4800928 |
0.405 |
|
2013 |
Si M, Gu JJ, Wang X, Shao J, Li X, Manfra MJ, Gordon RG, Ye PD. Effects of forming gas anneal on ultrathin InGaAs nanowire metal-oxide-semiconductor field-effect transistors Applied Physics Letters. 102. DOI: 10.1063/1.4794846 |
0.476 |
|
2013 |
Sinsermsuksakul P, Hartman K, Bok Kim S, Heo J, Sun L, Hejin Park H, Chakraborty R, Buonassisi T, Gordon RG. Enhancing the efficiency of SnS solar cells via band-offset engineering with a zinc oxysulfide buffer layer Applied Physics Letters. 102. DOI: 10.1063/1.4789855 |
0.792 |
|
2013 |
Lee YS, Heo J, Winkler MT, Siah SC, Kim SB, Gordon RG, Buonassisi T. Nitrogen-doped cuprous oxide as a p-type hole-transporting layer in thin-film solar cells Journal of Materials Chemistry A. 1: 15416-15422. DOI: 10.1039/C3Ta13208K |
0.409 |
|
2013 |
Lee YS, Heo J, Siah SC, Mailoa JP, Brandt RE, Kim SB, Gordon RG, Buonassisi T. Ultrathin amorphous zinc-tin-oxide buffer layer for enhancing heterojunction interface quality in metal-oxide solar cells Energy and Environmental Science. 6: 2112-2118. DOI: 10.1039/C3Ee24461J |
0.342 |
|
2013 |
Wang X, Gordon RG. High-quality epitaxy of ruthenium dioxide, RuO2, on rutile titanium dioxide, TiO2, by pulsed chemical vapor deposition Crystal Growth and Design. 13: 1316-1321. DOI: 10.1021/Cg301801H |
0.463 |
|
2012 |
Lee SW, Liu Y, Heo J, Gordon RG. Creation and control of two-dimensional electron gas using Al-based amorphous oxides/SrTiO₃ heterostructures grown by atomic layer deposition. Nano Letters. 12: 4775-83. PMID 22908907 DOI: 10.1021/Nl302214X |
0.626 |
|
2012 |
Son HJ, Wang X, Prasittichai C, Jeong NC, Aaltonen T, Gordon RG, Hupp JT. Glass-encapsulated light harvesters: more efficient dye-sensitized solar cells by deposition of self-aligned, conformal, and self-limited silica layers. Journal of the American Chemical Society. 134: 9537-40. PMID 22650384 DOI: 10.1021/Ja300015N |
0.5 |
|
2012 |
Au Y, Min Wang Q, Li H, Lehn JSM, Shenai DV, Gordon RG. Vapor deposition of highly conformal copper seed layers for plating through-silicon vias (TSVs) Journal of the Electrochemical Society. 159: D382-D385. DOI: 10.1149/2.097206Jes |
0.772 |
|
2012 |
Bhandari HB, Yang J, Kim H, Lin Y, Gordon RG, Wang QM, Lehn JSM, Li H, Shenaie D. Chemical vapor deposition of cobalt nitride and its application as an adhesion-enhancing layer for advanced copper interconnects Ecs Journal of Solid State Science and Technology. 1: N79-N84. DOI: 10.1149/2.005205Jss |
0.345 |
|
2012 |
Wang X, Saadat OI, Xi B, Lou X, Molnar RJ, Palacios T, Gordon RG. Atomic layer deposition of Sc2O3 for passivating AlGaN/GaN high electron mobility transistor devices Applied Physics Letters. 101. DOI: 10.1063/1.4770071 |
0.724 |
|
2012 |
Heo J, Kim SB, Gordon RG. Atomic layer deposited zinc tin oxide channel for amorphous oxide thin film transistors Applied Physics Letters. 101. DOI: 10.1063/1.4752727 |
0.417 |
|
2012 |
Heo J, Kim SB, Gordon RG. Atomic layer deposition of tin oxide with nitric oxide as an oxidant gas Journal of Materials Chemistry. 22: 4599-4602. DOI: 10.1039/C2Jm16557K |
0.421 |
|
2012 |
Sinsermsuksakul P, Chakraborty R, Kim SB, Heald SM, Buonassisi T, Gordon RG. Antimony-doped tin(II) sulfide thin films Chemistry of Materials. 24: 4556-4562. DOI: 10.1021/Cm3024988 |
0.806 |
|
2012 |
Liu Q, Dong L, Liu Y, Gordon R, Ye PD, Fay P, Seabaugh A. Frequency response of LaAlO 3/SrTiO 3 all-oxide field-effect transistors Solid-State Electronics. 76: 1-4. DOI: 10.1016/J.Sse.2012.05.044 |
0.555 |
|
2012 |
Cui Y, Wang X, Zhou Y, Gordon R, Ramanathan S. Synthesis of vanadium dioxide thin films on conducting oxides and metal–insulator transition characteristics Journal of Crystal Growth. 338: 96-102. DOI: 10.1016/J.Jcrysgro.2011.10.025 |
0.532 |
|
2011 |
Au Y, Lin Y, Gordon RG. Filling narrow trenches by iodine-catalyzed CVD of copper and manganese on manganese nitride barrier/adhesion layers Journal of the Electrochemical Society. 158: D248-D253. DOI: 10.1149/1.3556699 |
0.642 |
|
2011 |
Shen S, Liu Y, Gordon RG, Brillson LJ. Impact of ultrathin Al2O3 diffusion barriers on defects in high- k LaLuO3 on Si Applied Physics Letters. 98. DOI: 10.1063/1.3583462 |
0.581 |
|
2011 |
Liu Y, Shen S, Brillson LJ, Gordon RG. Impact of ultrathin Al2 O3 barrier layer on electrical properties of LaLuO3 metal-oxide-semiconductor devices Applied Physics Letters. 98. DOI: 10.1063/1.3563713 |
0.644 |
|
2011 |
Heo J, Liu Y, Sinsermsuksakul P, Li Z, Sun L, Noh W, Gordon RG. (Sn,Al)Ox films grown by atomic layer deposition Journal of Physical Chemistry C. 115: 10277-10283. DOI: 10.1021/Jp202202X |
0.813 |
|
2011 |
Ma Q, Guo H, Gordon RG, Zaera F. Surface chemistry of copper(I) acetamidinates in connection with atomic layer deposition (ALD) processes Chemistry of Materials. 23: 3325-3334. DOI: 10.1021/Cm200432T |
0.313 |
|
2011 |
Pint CL, Nicholas NW, Xu S, Sun Z, Tour JM, Schmidt HK, Gordon RG, Hauge RH. Three dimensional solid-state supercapacitors from aligned single-walled carbon nanotube array templates Carbon. 49: 4890-4897. DOI: 10.1016/J.Carbon.2011.07.011 |
0.373 |
|
2011 |
Sinsermsuksakul P, Heo J, Noh W, Hock AS, Gordon RG. Atomic layer deposition of tin monosulfide thin films Advanced Energy Materials. 1: 1116-1125. DOI: 10.1002/Aenm.201100330 |
0.818 |
|
2010 |
Dai M, Kwon J, Halls MD, Gordon RG, Chabal YJ. Surface and interface processes during atomic layer deposition of copper on silicon oxide. Langmuir : the Acs Journal of Surfaces and Colloids. 26: 3911-7. PMID 20092316 DOI: 10.1021/La903212C |
0.303 |
|
2010 |
Wang H, Xu S, Gordon RG. Low temperature epitaxial growth of high permittivity rutile TiO 2 on SnO2 Electrochemical and Solid-State Letters. 13: G75-G78. DOI: 10.1149/1.3457485 |
0.549 |
|
2010 |
Li Z, Gordon RG, Li H, Shenai DV, Lavoie C. Formation of nickel silicide from direct-liquid-injection chemical-vapor-deposited nickel nitride films Journal of the Electrochemical Society. 157: H679-H683. DOI: 10.1149/1.3388721 |
0.573 |
|
2010 |
Au Y, Lin Y, Kim H, Beh E, Liu Y, Gordon RG. Selective chemical vapor deposition of manganese self-aligned capping layer for cu interconnections in microelectronics Journal of the Electrochemical Society. 157: D341-D345. DOI: 10.1149/1.3364799 |
0.793 |
|
2010 |
Lei B, Ryu K, De-Arco LG, Han S, Badmaev A, Farmer D, Kim K, Gordon R, Wang KL, Zhou C. Raman characterization and polarity tuning of aligned single-walled carbon nanotubes on quartz Japanese Journal of Applied Physics. 49. DOI: 10.1143/Jjap.49.02Bc02 |
0.62 |
|
2010 |
Liu Y, Xu M, Heo J, Ye PD, Gordon RG. Heteroepitaxy of single-crystal LaLuO3 on GaAs(111)A by atomic layer deposition Applied Physics Letters. 97. DOI: 10.1063/1.3504254 |
0.661 |
|
2010 |
Gu JJ, Liu YQ, Xu M, Celler GK, Gordon RG, Ye PD. High performance atomic-layer-deposited LaLuO3 /Ge -on-insulator p-channel metal-oxide-semiconductor field-effect transistor with thermally grown GeO2 as interfacial passivation layer Applied Physics Letters. 97. DOI: 10.1063/1.3462303 |
0.377 |
|
2010 |
Li Z, Gordon RG, Pallem V, Li H, Shenai DV. Direct-liquid-injection chemical vapor deposition of nickel nitride films and their reduction to nickel films Chemistry of Materials. 22: 3060-3066. DOI: 10.1021/Cm903636J |
0.57 |
|
2010 |
Ma Q, Guo H, Gordon RG, Zaera F. Uptake of copper acetamidinate ALD precursors on nickel surfaces Chemistry of Materials. 22: 352-359. DOI: 10.1021/Cm9027447 |
0.381 |
|
2010 |
Heo J, Hock AS, Gordon RG. Low temperature atomic layer deposition of tin oxide Chemistry of Materials. 22: 4964-4973. DOI: 10.1021/Cm1011108 |
0.733 |
|
2009 |
Dai M, Kwon J, Chabal YJ, Halls MD, Gordon RG. FTIR study of copper agglomeration during atomic layer deposition of copper Mrs Proceedings. 1155. DOI: 10.1557/Proc-1155-C11-06 |
0.35 |
|
2009 |
Wang H, Wang JJ, Gordon R, Lehn JSM, Li H, Hong D, Shenai DV. Atomic layer deposition of lanthanum-based ternary oxides Electrochemical and Solid-State Letters. 12: G13-G15. DOI: 10.1149/1.3074314 |
0.558 |
|
2009 |
Kwon J, Dai M, Halls MD, Langereis E, Chabal YJ, Gordon RG. In situ infrared characterization during atomic layer deposition of lanthanum oxide Journal of Physical Chemistry C. 113: 654-660. DOI: 10.1021/Jp806027M |
0.414 |
|
2009 |
Wang H, Gordon RG, Alvis R, Ulfig RM. Atomic layer deposition of ruthenium thin films from an amidinate precursor Chemical Vapor Deposition. 15: 312-319. DOI: 10.1002/Cvde.200906789 |
0.558 |
|
2009 |
Hock AS, Sinsermsuksakul P, Gordon RG. Synthesis, characterization, and utilization of tin(II) vapor deposition precursors for photovoltaic applications Acs National Meeting Book of Abstracts. |
0.788 |
|
2008 |
Leu PW, Adhikari H, Koto M, Kim KH, Rouffignac Pd, Marshall AF, Gordon RG, Chidsey CE, McIntyre PC. Oxide-encapsulated vertical germanium nanowire structures and their DC transport properties. Nanotechnology. 19: 485705. PMID 21836312 DOI: 10.1088/0957-4484/19/48/485705 |
0.496 |
|
2008 |
Li Z, Lee DK, Coulter M, Rodriguez LN, Gordon RG. Synthesis and characterization of volatile liquid cobalt amidinates. Dalton Transactions (Cambridge, England : 2003). 2592-7. PMID 18443702 DOI: 10.1039/B800712H |
0.59 |
|
2008 |
Kucheyev SO, Biener J, Baumann TF, Wang YM, Hamza AV, Li Z, Lee DK, Gordon RG. Mechanisms of atomic layer deposition on substrates with ultrahigh aspect ratios. Langmuir : the Acs Journal of Surfaces and Colloids. 24: 943-8. PMID 18166066 DOI: 10.1021/La7018617 |
0.625 |
|
2008 |
Gordon RG, Li H, Aaltonen T, Lim BS, Li Z. Synthesis and Characterization of Ruthenium Amidinate Complexes as Precursors for Vapor Deposition The Open Inorganic Chemistry Journal. 2: 11-17. DOI: 10.2174/1874098700802010011 |
0.534 |
|
2008 |
Kim H, Bhandari HB, Xu S, Gordon RG. Ultrathin CVD Cu Seed Layer Formation Using Copper Oxynitride Deposition and Room Temperature Remote Hydrogen Plasma Reduction Journal of the Electrochemical Society. 155: H496. DOI: 10.1149/1.2912326 |
0.397 |
|
2008 |
Chen Z, Farmer D, Xu S, Gordon R, Avouris P, Appenzeller J. Externally assembled gate-all-around carbon nanotube field-effect transistor Ieee Electron Device Letters. 29: 183-185. DOI: 10.1109/Led.2007.914069 |
0.622 |
|
2008 |
Han B, Wu J, Zhou C, Li J, Lei X, Norman JAT, Gaffney TR, Gordon R, Roberts DA, Cheng H. Ab Initio Molecular Dynamics Simulation on the Aggregation of a Cu Monolayer on a WN(001) Surface Journal of Physical Chemistry C. 112: 9798-9802. DOI: 10.1021/Jp802979B |
0.327 |
|
2007 |
Li H, Farmer DB, Gordon RG, Lin Y, Vlassak J. Vapor deposition of ruthenium from an amidinate precursor Journal of the Electrochemical Society. 154: D642-D647. DOI: 10.1149/1.2789294 |
0.655 |
|
2007 |
Kim KH, Gordon RG, Ritenour A, Antoniadis DA. Atomic layer deposition of insulating nitride interfacial layers for germanium metal oxide semiconductor field effect transistors with high-κ oxide/tungsten nitride gate stacks Applied Physics Letters. 90: 212104. DOI: 10.1063/1.2741609 |
0.537 |
|
2007 |
Farmer DB, Gordon RG. High density Ru nanocrystal deposition for nonvolatile memory applications Journal of Applied Physics. 101. DOI: 10.1063/1.2740351 |
0.657 |
|
2007 |
Wu J, Han B, Zhou C, Lei X, Gaffney TR, Norman JAT, Li Z, Gordon R, Cheng H. Density Function Theory Study of Copper Agglomeration on the WN(001) Surface Journal of Physical Chemistry C. 111: 9403-9406. DOI: 10.1021/Jp072907Q |
0.5 |
|
2007 |
Liang H, Gordon RG. Atmospheric pressure chemical vapor deposition of transparent conducting films of fluorine doped zinc oxide and their application to amorphous silicon solar cells Journal of Materials Science. 42: 6388-6399. DOI: 10.1007/S10853-006-1255-5 |
0.68 |
|
2007 |
Li X, Li Z, Li H, Gordon RG. Synthesis and Sublimation Kinetics of a Highly Volatile Asymmetric Iron(II) Amidinate European Journal of Inorganic Chemistry. 2007: 1135-1142. DOI: 10.1002/Ejic.200600960 |
0.437 |
|
2006 |
Farmer DB, Gordon RG. Atomic layer deposition on suspended single-walled carbon nanotubes via gas-phase noncovalent functionalization. Nano Letters. 6: 699-703. PMID 16608267 DOI: 10.1021/Nl052453D |
0.638 |
|
2006 |
Li Z, Rahtu A, Gordon RG. Atomic Layer Deposition of Ultrathin Copper Metal Films from a Liquid Copper(I) Amidinate Precursor Journal of the Electrochemical Society. 153. DOI: 10.1149/1.2338632 |
0.587 |
|
2006 |
De Rouffignac P, Yousef AP, Kim KH, Gordon RG. ALD of scandium oxide from scandium tris (N, N′ - diisopropylacetamidinate) and water Electrochemical and Solid-State Letters. 9: F45-F48. DOI: 10.1149/1.2191131 |
0.819 |
|
2006 |
Kim KH, Farmer DB, Lehn JSM, Venkateswara Rao P, Gordon RG. Atomic layer deposition of gadolinium scandate films with high dielectric constant and low leakage current Applied Physics Letters. 89. DOI: 10.1063/1.2354423 |
0.749 |
|
2006 |
Li Z, Gordon RG. Thin, Continuous, and Conformal Copper Films by Reduction of Atomic Layer Deposited Copper Nitride† Chemical Vapor Deposition. 12: 435-441. DOI: 10.1002/Cvde.200606485 |
0.586 |
|
2006 |
De Rouffignac P, Gordon RG. Atomic layer deposition of praseodymium aluminum oxide for electrical applications Chemical Vapor Deposition. 12: 152-157. DOI: 10.1002/Cvde.200506377 |
0.828 |
|
2005 |
Rugge A, Park JS, Gordon RG, Tolbert SH. Tantalum(V) nitride inverse opals as photonic structures for visible wavelengths. The Journal of Physical Chemistry. B. 109: 3764-71. PMID 16851423 DOI: 10.1021/Jp047068B |
0.355 |
|
2005 |
Javey A, Tu R, Farmer DB, Guo J, Gordon RG, Dai H. High performance n-type carbon nanotube field-effect transistors with chemically doped contacts. Nano Letters. 5: 345-8. PMID 15794623 DOI: 10.1021/Nl047931J |
0.629 |
|
2005 |
Li Z, Barry ST, Gordon RG. Synthesis and characterization of copper(I) amidinates as precursors for atomic layer deposition (ALD) of copper metal. Inorganic Chemistry. 44: 1728-35. PMID 15762699 DOI: 10.1021/Ic048492U |
0.712 |
|
2005 |
Li Z, Gordon RG, Farmer DB, Lin Y, Vlassak J. Nucleation and adhesion of ALD copper on cobalt adhesion layers and tungsten nitride diffusion barriers Electrochemical and Solid-State Letters. 8: G182-G185. DOI: 10.1149/1.1924929 |
0.711 |
|
2005 |
Liu X, Ramanathan S, Longdergan A, Srivastava A, Lee E, Seidel TE, Barton JT, Pang D, Gordon RG. Erratum: ALD of Hafnium Oxide Thin Films from Tetrakis(ethylmethylamino)hafnium and Ozone [ J. Electrochem. Soc. , 152 , G213 (2005) ] Journal of the Electrochemical Society. 152. DOI: 10.1149/1.1894400 |
0.797 |
|
2005 |
Farmer DB, Gordon RG. ALD of high-κ Dielectrics on suspended functionalized SWNTs Electrochemical and Solid-State Letters. 8: G89-G91. DOI: 10.1149/1.1862474 |
0.654 |
|
2005 |
Liu X, Ramanathan S, Longdergan A, Srivastava A, Lee E, Seidel TE, Barton JT, Pang D, Gordon RG. ALD of hafnium oxide thin films from tetrakis(ethylmethylamino)hafnium and ozone Journal of the Electrochemical Society. 152: G213-G219. DOI: 10.1149/1.1859631 |
0.819 |
|
2005 |
De Rouffignac P, Park JS, Gordon RG. Atomic layer deposition of y 2O 3 thin films from yttrium tris(N,N′-diisopropylacetamidinate) and water Chemistry of Materials. 17: 4808-4814. DOI: 10.1021/Cm050624+ |
0.823 |
|
2004 |
Chen P, Mitsui T, Farmer DB, Golovchenko J, Gordon RG, Branton D. Atomic Layer Deposition to Fine-Tune the Surface Properties and Diameters of Fabricated Nanopores. Nano Letters. 4: 1333-1337. PMID 24991194 DOI: 10.1021/Nl0494001 |
0.653 |
|
2004 |
Wang D, Chang YL, Wang Q, Cao J, Farmer DB, Gordon RG, Dai H. Surface chemistry and electrical properties of germanium nanowires. Journal of the American Chemical Society. 126: 11602-11. PMID 15366907 DOI: 10.1021/Ja047435X |
0.686 |
|
2004 |
De Rouffignac P, Li Z, Gordon RG. Sealing porous low-k dielectrics with silica Electrochemical and Solid-State Letters. 7: G306-G308. DOI: 10.1149/1.1814594 |
0.795 |
|
2004 |
Brewer JC, Walters RJ, Bell LD, Farmer DB, Gordon RG, Atwater HA. Determination of energy barrier profiles for high-k dielectric materials utilizing bias-dependent internal photoemission Applied Physics Letters. 85: 4133-4135. DOI: 10.1063/1.1812831 |
0.645 |
|
2004 |
Ganguly G, Carlson DE, Hegedus SS, Ryan D, Gordon RG, Pang D, Reedy RC. Improved fill factors in amorphous silicon solar cells on zinc oxide by insertion of a germanium layer to block impurity incorporation Applied Physics Letters. 85: 479-481. DOI: 10.1063/1.1773372 |
0.359 |
|
2004 |
Lim BS, Rahtu A, De Rouffignac P, Gordon RG. Atomic layer deposition of lanthanum aluminum oxide nano-laminates for electrical applications Applied Physics Letters. 84: 3957-3959. DOI: 10.1063/1.1739272 |
0.825 |
|
2004 |
Javey A, Guo J, Farmer DB, Wang Q, Yenilmez E, Gordon RG, Lundstrom M, Dai H. Self-aligned ballistic molecular transistors and electrically parallel nanotube arrays Nano Letters. 4: 1319-1322. DOI: 10.1021/Nl049222B |
0.647 |
|
2004 |
Javey A, Guo J, Farmer DB, Wang Q, Wang D, Gordon RG, Lundstrom M, Dai H. Carbon nanotube field-effect transistors with integrated ohmic contacts and high-κ gate dielectrics Nano Letters. 4: 447-450. DOI: 10.1021/Nl035185X |
0.635 |
|
2004 |
Becker JS, Kim E, Gordon RG. Atomic Layer Deposition of Insulating Hafnium and Zirconium Nitrides Chemistry of Materials. 16: 3497-3501. DOI: 10.1021/Cm049516Y |
0.459 |
|
2003 |
Lim BS, Rahtu A, Park JS, Gordon RG. Synthesis and characterization of volatile, thermally stable, reactive transition metal amidinates. Inorganic Chemistry. 42: 7951-8. PMID 14632513 DOI: 10.1021/Ic0345424 |
0.336 |
|
2003 |
Lim BS, Rahtu A, Gordon RG. Atomic layer deposition of transition metals. Nature Materials. 2: 749-54. PMID 14578877 DOI: 10.1038/Nmat1000 |
0.391 |
|
2003 |
Kim D, Kim YJ, Song YS, Lee B, Kim JH, Suh S, Gordon R. Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten Journal of the Electrochemical Society. 150. DOI: 10.1149/1.1610000 |
0.404 |
|
2003 |
Biercuk MJ, Monsma DJ, Marcus CM, Backer JS, Gordon RG. Low-temperature atomic-layer-deposition lift-off method for microelectronic and nanoelectronic applications Applied Physics Letters. 83: 2405-2407. DOI: 10.1063/1.1612904 |
0.456 |
|
2003 |
Becker JS, Gordon RG. Diffusion barrier properties of tungsten nitride films grown by atomic layer deposition from bis(tert-butylimido)bis(dimethylamido)tungsten and ammonia Applied Physics Letters. 82: 2239-2241. DOI: 10.1063/1.1565699 |
0.46 |
|
2003 |
Rugge A, Becker JS, Gordon RG, Tolbert SH. Tungsten nitride inverse opals by atomic layer deposition Nano Letters. 3: 1293-1297. DOI: 10.1021/Nl034362R |
0.42 |
|
2003 |
Becker JS, Suh S, Wang S, Gordon RG. Highly Conformal Thin Films of Tungsten Nitride Prepared by Atomic Layer Deposition from a Novel Precursor Chemistry of Materials. 15: 2969-2976. DOI: 10.1021/Cm021772S |
0.46 |
|
2003 |
Hausmann DM, De Rouffignac P, Smith A, Gordon R, Monsma D. Highly conformal atomic layer deposition of tantalum oxide using alkylamide precursors Thin Solid Films. 443: 1-4. DOI: 10.1016/S0040-6090(03)00502-9 |
0.819 |
|
2003 |
Hausmann DM, Gordon RG. Surface morphology and crystallinity control in the atomic layer deposition (ALD) of hafnium and zirconium oxide thin films Journal of Crystal Growth. 249: 251-261. DOI: 10.1016/S0022-0248(02)02133-4 |
0.757 |
|
2003 |
Gordon R, Hausmann D, Kim E, Shepard J. A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches Chemical Vapor Deposition. 9: 73-78. DOI: 10.1002/Cvde.200390005 |
0.728 |
|
2002 |
Hausmann D, Becker J, Wang S, Gordon RG. Rapid vapor deposition of highly conformal silica nanolaminates. Science (New York, N.Y.). 298: 402-6. PMID 12376699 DOI: 10.1126/Science.1073552 |
0.745 |
|
2002 |
Hausmann DM, Kim E, Becker J, Gordon RG. Atomic layer deposition of hafnium and zirconium oxides using metal amide precursors Chemistry of Materials. 14: 4350-4358. DOI: 10.1021/Cm020357X |
0.767 |
|
2001 |
Gordon RG, Barton J, Suh S. Chemical Vapor Deposition (CVD) of Tungsten Nitride for Copper Diffusion Barriers Mrs Proceedings. 714. DOI: 10.1557/Proc-714-L8.10.1 |
0.779 |
|
2001 |
Gordon RG, Becker J, Hausmann D, Suh S. Alternating Layer Chemical Vapor Deposition (ALD) of Metal Silicates and Oxides for Gate Insulators Mrs Proceedings. 670. DOI: 10.1557/Proc-670-K2.4 |
0.749 |
|
2001 |
Gordon RG, Becker J, Hausmann D, Suh S. Vapor Deposition of Metal Oxides and Silicates: Possible Gate Insulators for Future Microelectronics Chemistry of Materials. 13: 2463-2464. DOI: 10.1021/Cm010145K |
0.731 |
|
2001 |
Gordon RG, Barry S, Barton JT, Broomhall-Dillard RNR. Atmospheric pressure chemical vapor deposition of electrochromic tungsten oxide films Thin Solid Films. 392: 231-235. DOI: 10.1016/S0040-6090(01)01033-1 |
0.82 |
|
2000 |
Gordon RG, Barry S, Broomhall-Dillard RNR, Wagner VA, Wang Y. Volatile Liquid Precursors For The Chemical Vapor Deposition (Cvd) Of Thin Films Containing Tungsten Mrs Proceedings. 612. DOI: 10.1557/Proc-612-D9.12.1 |
0.657 |
|
2000 |
Gordon RG. Criteria for Choosing Transparent Conductors Mrs Bulletin. 25: 52-57. DOI: 10.1557/Mrs2000.151 |
0.362 |
|
2000 |
Gordon RG, Barry S, Broomhall‐Dillard RNR, Teff DJ. Synthesis and solution decomposition kinetics of flash‐vaporizable liquid Barium Beta‐diketonates Advanced Materials For Optics and Electronics. 10: 201-211. DOI: 10.1002/1099-0712(200005/10)10:3/5<201::Aid-Amo413>3.0.Co;2-O |
0.548 |
|
1999 |
Barry ST, Gordon RG, Wagner VA. Monomeric Chelated Amides of Aluminum and Gallium: Volatile, Miscible Liquid Precursors for CVD Mrs Proceedings. 606: 83. DOI: 10.1557/Proc-606-83 |
0.533 |
|
1999 |
Broomhall-Dillard RNR, Gordon RG, Wagner VA. Volatile Liquid Precursors for the Chemical Vapor Deposition (CVD) of Thin Films Containing Alkali Metals Mrs Proceedings. 606: 139. DOI: 10.1557/Proc-606-139 |
0.317 |
|
1999 |
Gordon RG, Barry ST, Liu X, Teff DJ. Liquid Compounds for CVD of Alkaline Earth Metals Mrs Proceedings. 574: 23. DOI: 10.1557/Proc-574-23 |
0.658 |
|
1998 |
Liu X, Lu YZ, Gordon RG. Improved Conformality of CVD Titanium Nitride Films Mrs Proceedings. 555. DOI: 10.1557/Proc-555-135 |
0.57 |
|
1997 |
Gordon RG, Chen F, Diceglie NJ, Kenigsberg A, Liu X, Teff DJ, Thornton J. New Liquid Precursors for Chemical Vapor Deposition Mrs Proceedings. 495: 63. DOI: 10.1557/Proc-495-63 |
0.493 |
|
1997 |
Gordon R. Chemical vapor deposition of coatings on glass Journal of Non-Crystalline Solids. 218: 81-91. DOI: 10.1016/S0022-3093(97)00198-1 |
0.419 |
|
1996 |
Gordon RG, Kramer K, Liu X. Chemical Vapor Deposition And Properties Of Amorphous Aluminum Oxide Films Mrs Proceedings. 446: 383. DOI: 10.1557/Proc-446-383 |
0.58 |
|
1996 |
Gordon RG. Preparation and Properties of Transparent Conductors Mrs Proceedings. 426: 419. DOI: 10.1557/Proc-426-419 |
0.403 |
|
1996 |
Musher JN, Gordon RG. Atmospheric Pressure Chemical Vapor Deposition Of Tin From Tetrakis(Dimethylamido)Titanium And Ammonia Journal of Materials Research. 11: 989-1001. DOI: 10.1557/Jmr.1996.0124 |
0.423 |
|
1996 |
Musher JN, Gordon RG. Atmospheric Pressure Chemical Vapor Deposition of Titanium Nitride from Tetrakis (diethylamido) Titanium and Ammonia Journal of the Electrochemical Society. 143: 736-744. DOI: 10.1149/1.1836510 |
0.405 |
|
1996 |
Fix R, Gordon RG, Hoffman DM. Low-temperature atmospheric-pressure metal−organic chemical vapor deposition of molybdenum nitride thin films Thin Solid Films. 288: 116-119. DOI: 10.1016/S0040-6090(96)08867-0 |
0.412 |
|
1995 |
Gordon RG, Frisbie RW, Musher J, Thornton J. Atmospheric Pressure Chemical Vapor Deposition of Titanium Nitride from Titanium Bromide And Ammonia Mrs Proceedings. 410: 283. DOI: 10.1557/Proc-410-283 |
0.38 |
|
1994 |
Gordon RG, Thornton J, Chen F. CVD precursors containing hydropyridine ligands Mrs Proceedings. 363: 183. DOI: 10.1557/Proc-363-183 |
0.309 |
|
1994 |
Toprac AJ, Wang S, Musher J, Gordon RG. Step Coverage and Material Properties of CVD Titanium Nitride Films from TDMAT and TDEAT Organic Precursors Mrs Proceedings. 355. DOI: 10.1557/Proc-355-323 |
0.356 |
|
1993 |
Giunta CJ, Strickler DA, Gordon RG. Kinetic modeling of the chemical vapor deposition of tin oxide from dimethyltin dichloride and oxygen The Journal of Physical Chemistry. 97: 2275-2283. DOI: 10.1021/J100112A032 |
0.401 |
|
1993 |
Fix R, Gordon RG, Hoffman DM. Chemical vapor deposition of vanadium, niobium, and tantalum nitride thin films Chemistry of Materials. 5: 614-619. DOI: 10.1021/Cm00029A007 |
0.426 |
|
1992 |
Hu J, Gordon RG. Electrical and Optical Properties of Indium Doped Zinc Oxide Films Prepared by Atmospheric Pressure Chemical Vapor Deposition Mrs Proceedings. 283: 891. DOI: 10.1557/Proc-283-891 |
0.435 |
|
1992 |
Hu J, Gordon RG. Chemical Vapor Deposition of Highly Transparent and Conductive Boron Doped Zinc Oxide Thin Films Mrs Proceedings. 242: 743. DOI: 10.1557/Proc-242-743 |
0.433 |
|
1992 |
Gordon RG, Hoffman DM, Riaz U. Low Temperature Preparation of Gallium Nitride Thin Films Mrs Proceedings. 242: 445. DOI: 10.1557/Proc-242-445 |
0.416 |
|
1992 |
Gordon RG, Hoffman DM, Riaz U. Chemical vapor deposition of aluminum nitride thin films Journal of Materials Research. 7: 1679-1684. DOI: 10.1557/Jmr.1992.1679 |
0.415 |
|
1992 |
Hu J, Gordon RG. Deposition of Boron Doped Zinc Oxide Films and Their Electrical and Optical Properties Journal of the Electrochemical Society. 139: 2014-2022. DOI: 10.1149/1.2221166 |
0.438 |
|
1992 |
Hu J, Gordon RG. Atmospheric pressure chemical vapor deposition of gallium doped zinc oxide thin films from diethyl zinc, water, and triethyl gallium Journal of Applied Physics. 72: 5381-5392. DOI: 10.1063/1.351977 |
0.424 |
|
1992 |
Hu J, Gordon RG. Textured aluminum-doped zinc oxide thin films from atmospheric pressure chemical-vapor deposition Journal of Applied Physics. 71: 880-890. DOI: 10.1063/1.351309 |
0.434 |
|
1992 |
Zawadzki AG, Giunta CJ, Gordon RG. Kinetic modeling of the chemical vapor deposition of tin oxide from tetramethyltin and oxygen The Journal of Physical Chemistry. 96: 5364-5379. DOI: 10.1021/J100192A035 |
0.355 |
|
1992 |
Gordon RG, Hoffman DM, Riaz U. Low-temperature atmospheric pressure chemical vapor deposition of polycrystalline tin nitride thin films Chemistry of Materials. 4: 68-71. DOI: 10.1021/Cm00019A016 |
0.389 |
|
1992 |
Proscia J, Gordon RG. Properties of fluorine-doped tin oxide films produced by atmospheric pressure chemical vapor deposition from tetramethyltin, bromotrifluoromethane and oxygen Thin Solid Films. 214: 175-187. DOI: 10.1016/0040-6090(92)90767-6 |
0.429 |
|
1991 |
Gordon RG, Hoffman DM, Riaz U. Atmospheric pressure chemical vapor deposition of aluminum nitride thin films at 200–250 °C Journal of Materials Research. 6: 5-7. DOI: 10.1557/Jmr.1991.0005 |
0.416 |
|
1991 |
Fix R, Gordon RG, Hoffman DM. Chemical vapor deposition of titanium, zirconium, and hafnium nitride thin films Chemistry of Materials. 3: 1138-1148. DOI: 10.1021/Cm00018A034 |
0.412 |
|
1991 |
Hu J, Gordon RG. Textured fluorine-doped ZnO films by atmospheric pressure chemical vapor deposition and their use in amorphous silicon solar cells Solar Cells. 30: 437-450. DOI: 10.1016/0379-6787(91)90076-2 |
0.437 |
|
1991 |
Musher JN, Gordon RG. Low-temperature CVD TiN as a diffusion barrier between gold and silicon Journal of Electronic Materials. 20: 1105-1107. DOI: 10.1007/Bf03030216 |
0.385 |
|
1990 |
Gordon RG, Hoffman DM, Riaz U. Atmospheric Pressure Chemical Vapor Deposition of Gallium Nitride Thin Films Mrs Proceedings. 204: 95. DOI: 10.1557/Proc-204-95 |
0.383 |
|
1990 |
Hu J, Gordon RG. Deposition of Highly Transparent and Conductive Fluorine Doped Zinc Oxide Films Mrs Proceedings. 202: 457. DOI: 10.1557/Proc-202-457 |
0.432 |
|
1990 |
Giunta CJ, Chapple‐Sokol JD, Gordon RG. Kinetic Modeling of the Chemical Vapor Deposition of Silicon Dioxide from Silane or Disilane and Nitrous Oxide Journal of the Electrochemical Society. 137: 3237-3253. DOI: 10.1149/1.2086193 |
0.352 |
|
1990 |
Giunta CJ, McCurdy RJ, Chapple‐Sokol JD, Gordon RG. Gas‐phase kinetics in the atmospheric pressure chemical vapor deposition of silicon from silane and disilane Journal of Applied Physics. 67: 1062-1075. DOI: 10.1063/1.345792 |
0.405 |
|
1990 |
Fix RM, Gordon RG, Hoffman DM. Solution-phase reactivity as a guide to the low-temperature chemical vapor deposition of early-transition-metal nitride thin films Journal of the American Chemical Society. 112: 7833-7835. DOI: 10.1021/Ja00177A075 |
0.359 |
|
1990 |
Gordon RG, Hoffman DM, Riaz U. Silicon dimethylamido complexes and ammonia as precursors for the atmospheric pressure chemical vapor deposition of silicon nitride thin films Chemistry of Materials. 2: 480-482. DOI: 10.1021/Cm00011A002 |
0.388 |
|
1990 |
Fix RM, Gordon RG, Hoffman DM. Synthesis of thin films by atmospheric pressure chemical vapor deposition using amido and imido titanium(IV) compounds as precursors Chemistry of Materials. 2: 235-241. DOI: 10.1021/Cm00009A010 |
0.373 |
|
1990 |
Gordon RG, LeSar R. Local Density Functional Theories of Ionic and Molecular Solids Advances in Quantum Chemistry. 21: 341-363. DOI: 10.1016/S0065-3276(08)60604-8 |
0.576 |
|
1989 |
Fix RM, Gordon RG, Hoffman DM. Titanium Nitride Thin Films: Properties and Apcvd Synthesis Using Organometallic Precursors Mrs Proceedings. 168. DOI: 10.1557/Proc-168-357 |
0.407 |
|
1989 |
Chapple‐Sokol JD, Giunta CJ, Gordon RG. A kinetics study of the atmospheric pressure CVD reaction of silane and nitrous oxide Journal of the Electrochemical Society. 136: 2993-3003. DOI: 10.1149/1.2096390 |
0.318 |
|
1989 |
Gordon RG, Proscia J, Ellis FB, Delahoy AE. Textured tin oxide films produced by atmospheric pressure chemical vapor deposition from tetramethyltin and their usefulness in producing light trapping in thin film amorphous silicon solar cells Solar Energy Materials. 18: 263-281. DOI: 10.1016/0165-1633(89)90042-7 |
0.415 |
|
1989 |
Chapple-Sokol JD, Gordon RG. Substrate-dependent growth of atmospheric pressure chemically vapor deposited silicon dioxide from dichlorosilane and oxygen Thin Solid Films. 171: 291-305. DOI: 10.1016/0040-6090(89)90636-6 |
0.406 |
|
1989 |
Palmer BJ, Gordon RG. Kinetic model of morphological instabilities in chemical vapor deposition Thin Solid Films. 177: 141-159. DOI: 10.1016/0040-6090(89)90563-4 |
0.331 |
|
1988 |
McCurdy RJ, Gordon RG. Effects of Substrate Temperature and Gas Phase Chemistry on the APCVD of a Si:H Films From Disilane Mrs Proceedings. 118. DOI: 10.1557/Proc-118-97 |
0.341 |
|
1988 |
McCurdy RJ, Gordon RG. Compensating impurities as the limiting factor in atmospheric pressure chemical vapor deposition of a-Si:H from Mg2Si generated higher silanes Journal of Applied Physics. 63: 4669-4676. DOI: 10.1063/1.340121 |
0.4 |
|
1988 |
Palmer BJ, Gordon RG. Local equilibrium model of morphological instabilities in chemical vapor deposition Thin Solid Films. 158: 313-341. DOI: 10.1016/0040-6090(88)90034-X |
0.374 |
|
1988 |
Gustin KM, Gordon RG. A study of aluminum oxide thin films prepared by atmospheric-pressure chemical vapor deposition from trimethylaluminum + oxygen and/or nitrous oxide Journal of Electronic Materials. 17: 509-517. DOI: 10.1007/Bf02652101 |
0.411 |
|
1987 |
Chapple-Sokol JD, Giunta CJ, Gordon RG. Kinetics of Silicon Oxide Thin Film Deposition From Silane and Disilane with Nitrous Oxide. Mrs Proceedings. 105. DOI: 10.1557/Proc-105-127 |
0.423 |
|
1987 |
Kurtz SR, Gordon RG. Transparent conducting electrodes on silicon Solar Energy Materials. 15: 229-236. DOI: 10.1016/0165-1633(87)90038-4 |
0.422 |
|
1987 |
Kurtz SR, Gordon RG. Chemical vapor deposition of doped TiO2 thin films Thin Solid Films. 147: 167-176. DOI: 10.1016/0040-6090(87)90282-3 |
0.421 |
|
1987 |
Hemley RJ, Jackson MD, Gordon RG. Theoretical study of the structure, lattice dynamics, and equations of state of perovskite-type MgSiO3 and CaSiO3 Physics and Chemistry of Minerals. 14: 2-12. DOI: 10.1007/Bf00311142 |
0.461 |
|
1986 |
Kurtz SR, Proscia J, Gordon RG. Chemical vapor deposition of hydrogenated amorphous silicon Journal of Applied Physics. 59: 249-256. DOI: 10.1063/1.336872 |
0.399 |
|
1986 |
Kurtz SR, Gordon RG. Chemical vapor deposition of titanium nitride at low temperatures Thin Solid Films. 140: 277-290. DOI: 10.1016/0040-6090(86)90271-3 |
0.404 |
|
1984 |
Ellis FB, Gordon RG, Paul W, Yacobi BG. Properties of hydrogenated amorphous silicon prepared by chemical vapor deposition Journal of Applied Physics. 55: 4309-4317. DOI: 10.1063/1.333042 |
0.347 |
|
1983 |
Lesar RA, Gordon RG. Density‐functional theory for solid nitrogen and carbon dioxide at high pressure Journal of Chemical Physics. 78: 4991-4996. DOI: 10.1063/1.445411 |
0.577 |
|
1983 |
Ellis FB, Gordon RG. Simple method for preparing hydrogenated amorphous silicon films by chemical vapor deposition at atmospheric pressure Journal of Applied Physics. 54: 5381-5384. DOI: 10.1063/1.332717 |
0.409 |
|
1983 |
Ellis FB, Gordon RG, Paul W, Yacobi BG. Properties of hydrogenated amorphous silicon prepared by chemical vapor deposition Journal of Non-Crystalline Solids. 719-722. DOI: 10.1016/0022-3093(83)90272-7 |
0.313 |
|
1982 |
Lesar RA, Gordon RG. Density‐functional theory for the solid alkali cyanides Journal of Chemical Physics. 77: 3682-3692. DOI: 10.1063/1.444271 |
0.582 |
|
1976 |
Schulten K, Gordon RG. Quantum theory of angular momentum coupling in reactive collisions The Journal of Chemical Physics. 64: 2918-2938. DOI: 10.1063/1.432553 |
0.412 |
|
1976 |
Schulten K, Gordon RG. Recursive evaluation of 3j and 6j coefficients Computer Physics Communications. 11: 269-278. DOI: 10.1016/0010-4655(76)90058-8 |
0.375 |
|
1975 |
Schulten K, Gordon RG. Semiclassical approximations to 3j‐ and 6j‐coefficients for quantum‐mechanical coupling of angular momenta Journal of Mathematical Physics. 16: 1971-1988. DOI: 10.1063/1.522427 |
0.391 |
|
1975 |
Schulten K, Gordon RG. Exact recursive evaluation of 3j‐ and 6j‐coefficients for quantum‐mechanical coupling of angular momenta Journal of Mathematical Physics. 16: 1961-1970. DOI: 10.1063/1.522426 |
0.395 |
|
1974 |
Hudson B, Warshel A, Gordon RG. Molecular inelastic neutron scattering: Computational methods using consistent force fields The Journal of Chemical Physics. 61: 2929-2939. DOI: 10.1063/1.1682435 |
0.501 |
|
1972 |
Starkschall G, Gordon RG. Error Bounds to Long‐Range Three‐Body, and Relativistic Interactions between Atoms Journal of Chemical Physics. 57: 3213-3220. DOI: 10.1063/1.1678741 |
0.512 |
|
1972 |
Alexander MH, Gordon RG. Exact Solutions to the Coupled Hartree‐Fock Perturbation Equations Journal of Chemical Physics. 56: 3823-3831. DOI: 10.1063/1.1677785 |
0.455 |
|
1972 |
Starkschall G, Gordon RG. Calculation of Coefficients in the Power Series Expansion of the Long‐Range Dispersion Force between Atoms Journal of Chemical Physics. 56: 2801-2806. DOI: 10.1063/1.1677610 |
0.529 |
|
1972 |
Starkschall G, Gordon RG. Error Bounds for R -8 Dispersion Forces between Atoms Journal of Chemical Physics. 56: 2102-2105. DOI: 10.1063/1.1677504 |
0.506 |
|
1971 |
Alexander MH, Gordon RG. New Method For Constructing Solutions To Time-Dependent Perturbation Equations. Journal of Chemical Physics. 55: 4889-4897. DOI: 10.1063/1.1675596 |
0.472 |
|
1971 |
Starkschall G, Gordon RG. Improved Error Bounds for the Long‐Range Forces between Atoms Journal of Chemical Physics. 54: 663-673. DOI: 10.1063/1.1674894 |
0.509 |
|
1970 |
Rabitz HA, Gordon RG. Semiclassical Perturbation Theory of Molecular Collisions. II. The Calculation of Collision Cross Sections The Journal of Chemical Physics. 53: 1831-1850. DOI: 10.1063/1.1674260 |
0.387 |
|
1970 |
Rabitz HA, Gordon RG. Semiclassical Perturbation Theory of Molecular Collisions. I. First and Second Order Journal of Chemical Physics. 53: 1815-1831. DOI: 10.1063/1.1674259 |
0.385 |
|
1968 |
Berne BJ, Gordon RG, Sears VF. Mechanisms of Vibrational Relaxation The Journal of Chemical Physics. 49: 475-476. DOI: 10.1063/1.1669855 |
0.42 |
|
1967 |
Berne BJ, Jortner J, Gordon R. Vibrational Relaxation of Diatomic Molecules in Gases and Liquids The Journal of Chemical Physics. 47: 1600-1608. DOI: 10.1063/1.1712140 |
0.446 |
|
Show low-probability matches. |