Colin J. Brodsky, Ph.D. - Publications

Affiliations: 
2001 University of Texas at Austin, Austin, Texas, U.S.A. 
Area:
design and synthesis of functional organic materials

11 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2013 Braggin J, Brodsky C, Linnane M, Klymko P. Strategy for yield improvement with sub-10 nm photochemical filtration Proceedings of Spie - the International Society For Optical Engineering. 8682. DOI: 10.1117/12.2011478  0.346
2011 Buengener R, Boye C, Rhoads BN, Chong SY, Tejwani C, Burns SD, Stamper AD, Nafisi K, Brodsky CJ, Fan SS, Kini S, Hahn R. Process window centering for 22 nm lithography Ieee Transactions On Semiconductor Manufacturing. 24: 165-172. DOI: 10.1109/TSM.2011.2106807  0.657
2006 Bums S, Pfeiffer D, Mahorowala A, Petrillo K, Clancy A, Babich K, Medeiros D, Allen S, Holmes S, Grouse M, Brodsky C, Pham V, Lin YH, Patel K, Lustig N, et al. Silicon containing polymer in applications for 193 nm high NA lithography processes Proceedings of Spie - the International Society For Optical Engineering. 6153. DOI: 10.1117/12.657197  0.46
2004 Skordas S, Burns RL, Goldfarb DL, Burns SD, Angelopoulos M, Brodsky CJ, Lawson MC, Pillette C, Bright JJ, Isaacson RL, Lagus ME, Vishnu V. Rinse additives for defect suppression in 193 nm and 248 nm lithography Proceedings of Spie - the International Society For Optical Engineering. 5376: 471-481. DOI: 10.1117/12.537764  0.733
2004 Goldfarb DL, Burns SD, Burns RL, Brodsky CJ, Lawson MC, Angelopoulos M. Rinse additives for line edge roughness control in 193 nm lithography Proceedings of Spie - the International Society For Optical Engineering. 5376: 343-351. DOI: 10.1117/12.537723  0.708
2003 Pfeiffer D, Mahorowala A, Babich K, Medeiros D, Petrillo K, Angelopoulos M, Huang WS, Halle S, Brodsky C, Allen S, Holmes S, Kwong R, Lang R, Brock P. Highly etch selective spin-on bottom antireflective coating for use in 193 nm lithography and beyond Proceedings of Spie - the International Society For Optical Engineering. 5039: 136-143. DOI: 10.1117/12.485178  0.507
2001 Brodsky CJ, Trinque BC, Johnson HF, Willson CG. Advances in graft polymerization lithography Proceedings of Spie - the International Society For Optical Engineering. 4343: 415-426. DOI: 10.1117/12.436660  0.698
2000 Chiba T, Hung RJ, Yamada S, Trinque B, Yamachika M, Brodsky C, Patterson K, Heyden AV, Jamison A, Lin SH, Somervell M, Byers J, Conley W, Willson CG. 157 nm Resist Materials: A Progress Report. Journal of Photopolymer Science and Technology. 13: 657-664. DOI: 10.2494/Photopolymer.13.657  0.72
2000 Brodsky C, Byers J, Conley W, Hung R, Yamada S, Patterson K, Somervell M, Trinque B, Tran HV, Cho S, Chiba T, Lin SH, Jamieson A, Johnson H, Vander Heyden T, et al. 157 nm resist materials: Progress report Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 18: 3396-3401. DOI: 10.1116/1.1321762  0.611
2000 Chiba T, Hung RJ, Yamada S, Trinque B, Yamachika M, Brodsky C, Patterson K, Heyden AV, Jamison A, Lin SH, Somervell M, Byers J, Conley W, Grant Willson C. 157 nm resist materials: A progress report Journal of Photopolymer Science and Technology. 13: 657-664.  0.82
2000 Patterson K, Yamachika M, Hung R, Brodsky C, Yamada S, Somervell M, Osborn B, Hall D, Dukovic G, Byers J, Conley W, Willson CG. Polymers for 157 nm photoresist applications: A progress report Proceedings of Spie - the International Society For Optical Engineering. 3999: I/-.  0.765
Show low-probability matches.