Brian C. Trinque, Ph.D. - Publications

Affiliations: 
2003 University of Texas at Austin, Austin, Texas, U.S.A. 
Area:
design and synthesis of functional organic materials

29 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2008 Ito H, Trinque BC, Kasai P, Willson CG. Penultimate effect in radical copolymerization of 2- trifluoromethylacrylates Journal of Polymer Science, Part a: Polymer Chemistry. 46: 1559-1565. DOI: 10.1002/Pola.22467  0.491
2004 Kim EK, Stacey NA, Smith BJ, Dickey MD, Johnson SC, Trinque BC, Willson CG. Vinyl ethers in ultraviolet curable formulations for step and flash imprint lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 22: 131-135. DOI: 10.1116/1.1635849  0.706
2004 Jones RL, Hu T, Lin EK, Wu WL, Goldfarb DL, Angelopoulos M, Trinque BC, Schmid GM, Stewart MD, Willson CG. Formation of deprotected fuzzy blobs in chemically amplified resists Journal of Polymer Science, Part B: Polymer Physics. 42: 3063-3069. DOI: 10.1002/Polb.20168  0.776
2003 Willson CG, Trinque BC. The Evolution of Materials for the Photolithographic Process Journal of Photopolymer Science and Technology. 16: 621-627. DOI: 10.2494/Photopolymer.16.621  0.681
2003 Conley W, Trinque B, Miller D, Caporale S, Osborn B, Kumamoto S, Pinnow M, Callahan R, Chambers C, Lee GS, Zimmerman P, Willson CG. Negative photoresist for 157 nm microlithography: A progress report Proceedings of Spie - the International Society For Optical Engineering. 5039: 622-626. DOI: 10.1117/12.485194  0.8
2003 Sharif I, DesMarteau D, Ford L, Shafer G, Thomas B, Conley W, Zimmerman P, Miller D, Lee GS, Chambers C, Trinque B, Chiba T, Osborn B, Willson CG. Advances in TFE based fluoropolymers for 157nm lithography: A progress report Proceedings of Spie - the International Society For Optical Engineering. 5039: 33-42. DOI: 10.1117/12.485192  0.807
2003 Burns S, Schmid G, Trinque B, Willson J, Wunderlich J, Tsiartas P, Taylor JC, Burns R, Willson CG. A fundamental study of photoresist dissolution with real time spectroscopic ellipsometry and interferometry Proceedings of Spie - the International Society For Optical Engineering. 5039: 1063-1075. DOI: 10.1117/12.485182  0.729
2003 Jones RL, Hu T, Prabhu VM, Soles CL, Lin EK, Wu WL, Goldfarb DL, Angelopoulos M, Trinque BC, Willson CG. Deprotection volume characteristics and line edge morphology in chemically amplified resists Proceedings of Spie - the International Society For Optical Engineering. 5039: 1031-1040. DOI: 10.1117/12.485146  0.585
2003 Johnson HF, Ozair SN, Jamieson A, Trinque BC, Brodsky CC, Willson CG. Cationic graft polymerization lithography Proceedings of Spie - the International Society For Optical Engineering. 5037: 943-951. DOI: 10.1117/12.484974  0.593
2003 Trinque BC, Chambers CR, Osborn BP, Callahan RP, Lee GS, Kusumoto S, Sanders DP, Grubbs RH, Conley WE, Willson CG. Vacuum-UV influenced design of polymers and dissolution inhibitors for next generation photolithography Journal of Fluorine Chemistry. 122: 17-26. DOI: 10.1016/S0022-1139(03)00076-9  0.851
2003 Willson CG, Trinque BC. The evolution of materials for the photolithographic process Journal of Photopolymer Science and Technology. 16: 621-627.  0.442
2002 Lin EK, Soles CL, Goldfarb DL, Trinque BC, Burns SD, Jones RL, Lenhart JL, Angelopoulos M, Willson CG, Satija SK, Wu WL. Direct measurement of the reaction front in chemically amplified photoresists. Science (New York, N.Y.). 297: 372-5. PMID 12130778 DOI: 10.1126/Science.1072092  0.703
2002 Conley W, Miller D, Chambers C, Trinque BC, Osborn B, Chiba T, Zimmerman P, Dammel R, Romano A, Willson CG. Dissolution Inhibitors for 157 nm Lithography: A Progress Report. Journal of Photopolymer Science and Technology. 15: 613-617. DOI: 10.2494/Photopolymer.15.613  0.861
2002 Willson CG, Trinque BC, Osborn BP, Chambers CR, Hsieh YT, Chiba T, Zimmerman P, Miller D, Conley W. The Design of Resist Materials for 157nm Lithography Journal of Photopolymer Science and Technology. 15: 583-590. DOI: 10.2494/Photopolymer.15.583  0.854
2002 Conley W, Miller D, Chambers C, Osborn B, Hung RJ, Tran HV, Trinque BC, Pinnow M, Chiba T, McDonald S, Zimmerman P, Dammel R, Romano A, Willson CG. Dissolution inhibitors for 157 nm microlithography Proceedings of Spie - the International Society For Optical Engineering. 4690: 69-75. DOI: 10.1117/12.474282  0.801
2002 Trinque BC, Osborn BP, Chambers CR, Hsieh YT, Corry S, Chiba T, Hung RJ, Tran HV, Zimmerman P, Miller D, Conley W, Grant Willson C. Advances in resists for 157 nm microlithography Proceedings of Spie - the International Society For Optical Engineering. 4690: 58-68. DOI: 10.1117/12.474272  0.834
2002 Lin EK, Soles CL, Goldfarb DL, Trinque BC, Burns SD, Jones RL, Lenhart JL, Angelopoulos M, Willson CG, Satija SK, Wu WL. Measurement of the spatial evolution of the deprotection reaction front with nanometer resolution using neutron reflectometry Proceedings of Spie - the International Society For Optical Engineering. 4690: 313-320. DOI: 10.1117/12.474229  0.613
2002 Conley W, Trinque BC, Miller D, Zimmerman P, Kudo T, Dammel R, Romano A, Willson CG. Negative photoresist for 157 nm microlithography; A progress report Proceedings of Spie - the International Society For Optical Engineering. 4690: 94-100. DOI: 10.1117/12.474187  0.497
2002 Trinque BC, Chiba T, Hung RJ, Chambers CR, Pinnow MJ, Osborn BP, Tran HV, Wunderlich J, Hsieh YT, Thomas BH, Shafer G, Desmarteau DD, Conley W, Willson CG. Recent advances in resists for 157 nm microlithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 531-536. DOI: 10.1116/1.1450589  0.831
2002 Tran HV, Hung RJ, Chiba T, Yamada S, Mrozek T, Hsieh YT, Chambers CR, Osborn BP, Trinque BC, Pinnow MJ, MacDonald SA, Willson CG, Sanders DP, Connor EF, Grubbs RH, et al. Metal-catalyzed vinyl addition polymers for 157 nm resist applications. 2. Fluorinated norbornenes: Synthesis, polymerization, and initial imaging results Macromolecules. 35: 6539-6549. DOI: 10.1021/Ma0122371  0.82
2002 Grant Willson C, Trinque BC, Osborn BP, Chambers CR, Hsieh YT, Chiba T, Zimmerman P, Miller D, Conley W. The design of resist materials for 157nm lithography Journal of Photopolymer Science and Technology. 15: 583-590.  0.835
2002 Conley W, Miller D, Chambers C, Trinque BC, Osborn B, Chiba T, Zimmerman P, Dammel R, Romano A, Grant Willson C. Dissolution inhibitors for 157 nm lithography: A progress report Journal of Photopolymer Science and Technology. 15: 613-617.  0.805
2001 Tran HV, Hung RJ, Chiba T, Yamada S, Mrozek T, Hsieh Y, Chambers CR, Osborn BP, Trinque BC, Pinnow MJ, Sanders DP, Connor EF, Grubbs RH, Conley W, MacDonald SA, et al. Fluoropolymer Resist Materials for 157nm Microlithography. Journal of Photopolymer Science and Technology. 14: 669-674. DOI: 10.2494/Photopolymer.14.669  0.824
2001 Hung RJ, Tran HV, Trinque BC, Chiba T, Yamada S, Sanders DP, Connor EF, Grubbs RH, Klopp J, Frechet JMJ, Thomas BH, Shafer GJ, DesMarteau DD, Conley W, Grant Willson C. Resist materials for 157 nm microlithography: An update Proceedings of Spie - the International Society For Optical Engineering. 4345: 385-395. DOI: 10.1117/12.436870  0.477
2001 Brodsky CJ, Trinque BC, Johnson HF, Willson CG. Advances in graft polymerization lithography Proceedings of Spie - the International Society For Optical Engineering. 4343: 415-426. DOI: 10.1117/12.436660  0.73
2001 Tran HV, Hung RJ, Chiba T, Yamada S, Mrozek T, Hsieh YT, Chambers CR, Osborn BP, Trinque BC, Pinnow MJ, Sanders DP, Connor EF, Grubbs RH, Conley W, MacDonald SA, et al. Fluoropolymer resist materials for 157nm microlithography Journal of Photopolymer Science and Technology. 14: 669-674.  0.833
2000 Chiba T, Hung RJ, Yamada S, Trinque B, Yamachika M, Brodsky C, Patterson K, Heyden AV, Jamison A, Lin SH, Somervell M, Byers J, Conley W, Willson CG. 157 nm Resist Materials: A Progress Report. Journal of Photopolymer Science and Technology. 13: 657-664. DOI: 10.2494/Photopolymer.13.657  0.831
2000 Brodsky C, Byers J, Conley W, Hung R, Yamada S, Patterson K, Somervell M, Trinque B, Tran HV, Cho S, Chiba T, Lin SH, Jamieson A, Johnson H, Vander Heyden T, et al. 157 nm resist materials: Progress report Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 18: 3396-3401. DOI: 10.1116/1.1321762  0.78
2000 Chiba T, Hung RJ, Yamada S, Trinque B, Yamachika M, Brodsky C, Patterson K, Heyden AV, Jamison A, Lin SH, Somervell M, Byers J, Conley W, Grant Willson C. 157 nm resist materials: A progress report Journal of Photopolymer Science and Technology. 13: 657-664.  0.867
Show low-probability matches.