Paul F. Nealey, PhD - Publications

Affiliations: 
2012 University of Wisconsin, Madison, Madison, WI 
 2012- University of Chicago, Chicago, IL 
Website:
http://biophysics.uchicago.edu/the-faculty/paul_nealey/

365 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2024 Patel BB, Feng H, Loo WS, Snyder CR, Eom C, Murphy J, Sunday DF, Nealey PF, DeLongchamp DM. Self-Assembly of Hierarchical High-χ Fluorinated Block Copolymers with an Orthogonal Smectic-within-Lamellae 3 nm Sublattice and Vertical Surface Orientation. Acs Nano. PMID 38623826 DOI: 10.1021/acsnano.4c00664  0.381
2024 Feng H, Chen W, Craig GSW, Rowan SJ, Nealey PF. Self-brushing for nanopatterning: achieving perpendicular domain orientation in block copolymer thin films. Nanoscale. PMID 38606468 DOI: 10.1039/d4nr00223g  0.353
2024 Sánchez-Leija RJ, Mysona JA, de Pablo JJ, Nealey PF. Phase Behavior and Conformational Asymmetry near the Comb-to-Bottlebrush Transition in Linear-Brush Block Copolymers. Macromolecules. 57: 2019-2029. PMID 38495384 DOI: 10.1021/acs.macromol.3c02180  0.47
2023 Vásquez-Montoya GA, Emeršič T, Atzin N, Tavera-Vázquez A, Mozaffari A, Zhang R, Guzmán O, Snezhko A, Nealey PF, de Pablo JJ. Control of liquid crystals combining surface acoustic waves, nematic flows, and microfluidic confinement. Soft Matter. PMID 38105746 DOI: 10.1039/d3sm01443f  0.386
2023 Deng C, Bennington P, Sánchez-Leija RJ, Patel SN, Nealey PF, de Pablo JJ. Entropic Penalty Switches Li Solvation Site Formation and Transport Mechanisms in Mixed Polarity Copolymer Electrolytes. Macromolecules. 56: 8069-8079. PMID 37841534 DOI: 10.1021/acs.macromol.3c00804  0.622
2023 Yu B, Liang H, Nealey PF, Tirrell MV, Rumyantsev AM, de Pablo JJ. Structure and Dynamics of Hybrid Colloid-Polyelectrolyte Coacervates: Insights from Molecular Simulations. Macromolecules. 56: 7256-7270. PMID 37781214 DOI: 10.1021/acs.macromol.3c01079  0.43
2023 Fang YN, Rumyantsev AM, Neitzel AE, Liang H, Heller WT, Nealey PF, Tirrell MV, de Pablo JJ. Scattering evidence of positional charge correlations in polyelectrolyte complexes. Proceedings of the National Academy of Sciences of the United States of America. 120: e2302151120. PMID 37523553 DOI: 10.1073/pnas.2302151120  0.422
2023 Bagchi K, Emeršič T, Martínez-González JA, de Pablo JJ, Nealey PF. Functional soft materials from blue phase liquid crystals. Science Advances. 9: eadh9393. PMID 37494446 DOI: 10.1126/sciadv.adh9393  0.443
2023 Hao H, Chen S, Ren J, Chen X, Nealey PF. Enhanced etching resolution of self-assembled PS-b-PMMA block copolymer films by ionic liquid additives. Nanotechnology. PMID 36709513 DOI: 10.1088/1361-6528/acb6df  0.349
2022 Chang BS, Loo WS, Yu B, Dhuey S, Wan L, Nealey PF, Ruiz R. Sequential Brush Grafting for Chemically and Dimensionally Tolerant Directed Self-Assembly of Block Copolymers. Acs Applied Materials & Interfaces. PMID 36534025 DOI: 10.1021/acsami.2c16508  0.379
2022 Wang Z, Wang C, Sun Y, Wang K, Strzalka JW, Patel SN, Nealey PF, Ober CK, Escobedo FA. Ion Transport in 2D Nanostructured π-Conjugated Thieno[3,2-]thiophene-Based Liquid Crystal. Acs Nano. PMID 36475656 DOI: 10.1021/acsnano.2c07789  0.724
2022 Feng H, Dolejsi M, Zhu N, Yim S, Loo W, Ma P, Zhou C, Craig GSW, Chen W, Wan L, Ruiz R, de Pablo JJ, Rowan SJ, Nealey PF. Optimized design of block copolymers with covarying properties for nanolithography. Nature Materials. PMID 36357686 DOI: 10.1038/s41563-022-01392-1  0.511
2021 Barry E, Burns R, Chen W, De Hoe GX, De Oca JMM, de Pablo JJ, Dombrowski J, Elam JW, Felts AM, Galli G, Hack J, He Q, He X, Hoenig E, Iscen A, ... ... Nealey P, et al. Advanced Materials for Energy-Water Systems: The Central Role of Water/Solid Interfaces in Adsorption, Reactivity, and Transport. Chemical Reviews. PMID 34213328 DOI: 10.1021/acs.chemrev.1c00069  0.662
2021 Sunday DF, Thelen JL, Zhou C, Ren J, Nealey PF, Kline RJ. Buried Structure in Block Copolymer Films Revealed by Soft X-ray Reflectivity. Acs Nano. PMID 34014640 DOI: 10.1021/acsnano.0c09907  0.328
2021 Sharon D, Bennington P, Webb MA, Deng C, de Pablo JJ, Patel SN, Nealey PF. Molecular Level Differences in Ionic Solvation and Transport Behavior in Ethylene Oxide-Based Homopolymer and Block Copolymer Electrolytes. Journal of the American Chemical Society. PMID 33615794 DOI: 10.1021/jacs.0c12538  0.619
2020 Misra M, Liu Z, Dong BX, Patel SN, Nealey PF, Ober CK, Escobedo FA. Thermal Stability of π-Conjugated -Ethylene-Glycol-Terminated Quaterthiophene Oligomers: A Computational and Experimental Study. Acs Macro Letters. 9: 295-300. PMID 35648538 DOI: 10.1021/acsmacrolett.9b00935  0.505
2020 Sunday DF, Dolejsi M, Chang AB, Richter LJ, Li R, Kline RJ, Nealey PF, Grubbs RH. Confinement and Processing Can Alter the Morphology and Periodicity of Bottlebrush Block Copolymers in Thin Films. Acs Nano. PMID 33225683 DOI: 10.1021/acsnano.0c07777  0.309
2020 Sunday DF, Chen X, Albrecht TR, Nowak D, Delgadillo PR, Dazai T, Miyagi K, Maehashi T, Yamazaki A, Nealey PF, Kline RJ. The Influence of Additives on the Interfacial Width and Line Edge Roughness in Block Copolymer Lithography. Chemistry of Materials : a Publication of the American Chemical Society. 32. PMID 33100517 DOI: 10.1021/Acs.Chemmater.9B04833  0.376
2020 Jacobberger RM, Thapar V, Wu GP, Chang TH, Saraswat V, Way AJ, Jinkins KR, Ma Z, Nealey PF, Hur SM, Xiong S, Arnold MS. Boundary-directed epitaxy of block copolymers. Nature Communications. 11: 4151. PMID 32814775 DOI: 10.1038/S41467-020-17938-3  0.409
2020 Ren J, Segal-Peretz T, Zhou C, Craig GSW, Nealey PF. Three-dimensional superlattice engineering with block copolymer epitaxy. Science Advances. 6: eaaz0002. PMID 32582846 DOI: 10.1126/Sciadv.Aaz0002  0.349
2020 Sharon D, Bennington P, Dolejsi M, Webb MA, Dong BX, de Pablo JJ, Nealey PF, Patel SN. Intrinsic Ion Transport Properties of Block Copolymer Electrolytes. Acs Nano. PMID 32496776 DOI: 10.1021/Acsnano.0C03713  0.688
2020 Zhou C, Tambo N, Ashley EM, Liao Y, Shiomi J, Takahashi K, Craig GSW, Nealey PF. Enhanced Reduction of Thermal Conductivity in Amorphous Silicon Nitride Containing Phononic Crystals Fabricated Using Directed Self-Assembly of Block Copolymers. Acs Nano. PMID 32459464 DOI: 10.1021/Acsnano.0C01463  0.406
2020 Jin HM, Li X, Dolan JA, Kline RJ, Martínez-González JA, Ren J, Zhou C, de Pablo JJ, Nealey PF. Soft crystal martensites: An in situ resonant soft x-ray scattering study of a liquid crystal martensitic transformation. Science Advances. 6: eaay5986. PMID 32258402 DOI: 10.1126/Sciadv.Aay5986  0.476
2020 Misra M, Liu Z, Dong BX, Patel SN, Nealey PF, Ober CK, Escobedo FA. Thermal Stability of π-Conjugated n-Ethylene-Glycol-Terminated Quaterthiophene Oligomers: A Computational and Experimental Study Acs Macro Letters. 9: 295-300. DOI: 10.1021/Acsmacrolett.9B00935  0.538
2020 Sharon D, Bennington P, Patel SN, Nealey PF. Stabilizing Dendritic Electrodeposition by Limiting Spatial Dimensions in Nanostructured Electrolytes Acs Energy Letters. 5: 2889-2896. DOI: 10.1021/Acsenergylett.0C01543  0.509
2020 Sommerville PJW, Li Y, Dong BX, Zhang Y, Onorato JW, Tatum WK, Balzer AH, Stingelin N, Patel SN, Nealey PF, Luscombe CK. Elucidating the Influence of Side-Chain Circular Distribution on the Crack Onset Strain and Hole Mobility of Near-Amorphous Indacenodithiophene Copolymers Macromolecules. 53: 7511-7518. DOI: 10.1021/Acs.Macromol.0C00512  0.554
2019 Li X, Martínez-González JA, Guzmán O, Ma X, Park K, Zhou C, Kambe Y, Jin HM, Dolan JA, Nealey PF, de Pablo JJ. Sculpted grain boundaries in soft crystals. Science Advances. 5: eaax9112. PMID 31819903 DOI: 10.1126/Sciadv.Aax9112  0.449
2019 Li D, Zhou C, Xiong S, Qu XP, Craig GSW, Nealey PF. Enhanced microphase separation of thin films of low molecular weight block copolymer by the addition of an ionic liquid. Soft Matter. PMID 31755518 DOI: 10.1039/C9Sm02039J  0.365
2019 Yanagimachi T, Li X, Nealey PF, Kurihara K. Surface anchoring of nematic liquid crystal on swollen polymer brush studied by surface forces measurement. Advances in Colloid and Interface Science. 272: 101997. PMID 31421457 DOI: 10.1016/J.Cis.2019.101997  0.335
2019 Zhou C, Dolejsi M, Xiong S, Ren J, Ashley EM, Craig G, Nealey PF. Combining block copolymer lithography with self-aligned double patterning to achieve 10.5 nm full-pitch line/space patterns. Nanotechnology. PMID 31342916 DOI: 10.1088/1361-6528/Ab34F6  0.822
2019 Kambe Y, Arges CG, Czaplewski DA, Dolejsi M, Krishnan S, Stoykovich MP, de Pablo JJ, Nealey PF. Role of Defects in Ion Transport in Block Copolymer Electrolytes. Nano Letters. PMID 31250653 DOI: 10.1021/Acs.Nanolett.9B01758  0.796
2019 Dong BX, Liu Z, Misra M, Strzalka J, Niklas J, Poluektov OG, Escobedo FA, Ober CK, Nealey PF, Patel SN. Structure Control of a π-Conjugated Oligothiophene-Based Liquid Crystal for Enhanced Mixed Ion/Electron Transport Characteristics. Acs Nano. PMID 31194507 DOI: 10.1021/Acsnano.9B01055  0.557
2019 Li J, Deng TS, Liu X, Dolan JA, Scherer NF, Nealey PF. Hierarchical Assembly of Plasmonic Nanoparticle Heterodimer Arrays with Tunable Sub-5 nm Nanogaps. Nano Letters. PMID 31184897 DOI: 10.1021/Acs.Nanolett.9B00792  0.373
2019 Li X, Martínez-González JA, Park K, Yu C, Zhou Y, de Pablo JJ, Nealey PF. Perfection in Nucleation and Growth of Blue Phase Single Crystals: Small Free Energy Required to Self-Assemble at Specific Lattice Orientation. Acs Applied Materials & Interfaces. PMID 30763069 DOI: 10.1021/Acsami.8B18078  0.508
2019 Li J, Rincon-Delgadillo PA, Suh HS, Mannaert G, Nealey PF. Kinetic approach to defect reduction in directed self-assembly Journal of Micro-Nanolithography Mems and Moems. 18: 1. DOI: 10.1117/1.Jmm.18.4.043502  0.321
2019 Li J, Zhou C, Chen X, Rincon-Delgadillo PA, Nealey PF. Orientation control of high- χ triblock copolymer for sub-10 nm patterning using fluorine-containing polymeric additives Journal of Micro-Nanolithography Mems and Moems. 18: 35501. DOI: 10.1117/1.Jmm.18.3.035501  0.467
2019 Dolejsi M, Moni P, Bezik CT, Zhou C, Pablo JJd, Gleason KK, Nealey PF. Ultrathin initiated chemical vapor deposition polymer interfacial energy control for directed self-assembly hole-shrink applications Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 37: 61804. DOI: 10.1116/1.5121541  0.422
2019 Chen X, Delgadillo PR, Jiang Z, Craig GSW, Gronheid R, Nealey PF. Defect Annihilation in the Directed Self-Assembly of Block Copolymers in Films with Increasing Thickness Macromolecules. 52: 7798-7805. DOI: 10.1021/Acs.Macromol.9B01030  0.451
2019 Dong BX, Nowak C, Onorato JW, Strzalka J, Escobedo FA, Luscombe CK, Nealey PF, Patel SN. Influence of Side-Chain Chemistry on Structure and Ionic Conduction Characteristics of Polythiophene Derivatives: A Computational and Experimental Study Chemistry of Materials. 31: 1418-1429. DOI: 10.1021/Acs.Chemmater.8B05257  0.607
2019 Sutton P, Bennington P, Patel SN, Stefik M, Wiesner UB, Nealey PF, Steiner U, Gunkel I. Surface Reconstruction Limited Conductivity in Block‐Copolymer Li Battery Electrolytes Advanced Functional Materials. 29: 1905977. DOI: 10.1002/Adfm.201905977  0.58
2018 Zhang X, He Q, Chen Q, Nealey PF, Ji S. Directed Self-Assembly of High χ Poly(styrene--(lactic acid--glycolic acid)) Block Copolymers on Chemical Patterns via Thermal Annealing. Acs Macro Letters. 7: 751-756. PMID 35632959 DOI: 10.1021/acsmacrolett.8b00293  0.363
2018 Hur SM, Thapar V, Ramírez-Hernández A, Nealey PF, de Pablo JJ. Defect Annihilation Pathways in Directed Assembly of Lamellar Block Copolymer Thin Films. Acs Nano. PMID 30226748 DOI: 10.1021/Acsnano.8B04202  0.588
2018 Li X, Yanagimachi T, Bishop C, Smith C, Dolejsi M, Xie H, Kurihara K, Nealey PF. Engineering the anchoring behavior of nematic liquid crystals on a solid surface by varying the density of liquid crystalline polymer brushes. Soft Matter. PMID 30065982 DOI: 10.1039/C8Sm00991K  0.389
2018 Olaya-Muñoz DA, Nealey PF, Hernández-Ortiz JP. Leveling of Polymer Grating Structures upon Heating: Dimension Dependence on the Nanoscale and the Effect of Antiplasticizers. Acs Applied Materials & Interfaces. PMID 30033719 DOI: 10.1021/Acsami.8B06611  0.378
2018 Andrich P, Li J, Liu X, Heremans FJ, Nealey PF, Awschalom D. Microscale resolution thermal mapping using a flexible platform of patterned quantum sensors. Nano Letters. PMID 30004715 DOI: 10.1021/Acs.Nanolett.8B00895  0.301
2018 Hannon AF, Sunday DF, Bowen A, Khaira G, Ren J, Nealey PF, de Pablo JJ, Kline RJ. Optimizing self-consistent field theory block copolymer models with X-ray metrology. Molecular Systems Design & Engineering. 3: 376-389. PMID 29892480 DOI: 10.1039/C7ME00098G  0.464
2018 Ren J, Zhou C, Chen X, Dolejsi M, Craig GSW, Rincon Delgadillo PA, Segal-Peretz T, Nealey PF. Engineering the Kinetics of Directed Self-Assembly of Block Copolymers Toward Fast and Defect-Free Assembly. Acs Applied Materials & Interfaces. PMID 29878751 DOI: 10.1021/Acsami.8B05247  0.446
2018 Efremov MY, Nealey PF. Ellipsometry-based combination of isothermal sorption-desorption measurement and temperature programmed desorption technique: A probe for interaction of thin polymer films with solvent vapor. The Review of Scientific Instruments. 89: 055114. PMID 29864867 DOI: 10.1063/1.5021269  0.383
2018 Chen X, Zhou C, Chen SJ, Craig GSW, Rincon-Delgadillo P, Dazai T, Miyagi K, Maehashi T, Yamazaki A, Gronheid R, Stoykovich M, Nealey PF. Ionic liquids as additives to polystyrene-b-poly(methyl methacrylate) enabling directed self-assembly of patterns with sub-10 nm features. Acs Applied Materials & Interfaces. PMID 29667409 DOI: 10.1021/Acsami.8B02990  0.684
2018 Moni P, Suh HS, Dolejsi M, Kim DH, Mohr AC, Nealey PF, Gleason KK. Ultrathin and conformal initiated chemical vapor deposited (iCVD) layers of systematically varied surface energy for controlling the directed self-assembly of block co-polymers. Langmuir : the Acs Journal of Surfaces and Colloids. PMID 29561155 DOI: 10.1021/Acs.Langmuir.8B00173  0.493
2018 Kim JJ, Suh HS, Zhou C, Mane AU, Lee B, Kim S, Emery JD, Elam JW, Nealey PF, Fenter P, Fister TT. Mechanistic understanding of tungsten oxide in-plane nanostructure growth via sequential infiltration synthesis. Nanoscale. PMID 29404547 DOI: 10.1039/C7Nr07642H  0.37
2018 Sharon D, Bennington P, Liu C, Kambe Y, Dong BX, Burnett VF, Dolejsi M, Grocke G, Patel SN, Nealey PF. Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes Journal of the Electrochemical Society. 165. DOI: 10.1149/2.0291816Jes  0.577
2018 Dolejsi M, Nealey P. Utilization of metal–polymer interactions for self-aligned directed self-assembly of device relevant features Journal of Micro-Nanolithography Mems and Moems. 17: 31204. DOI: 10.1117/1.Jmm.17.3.031204  0.39
2018 Zhou C, Kurosawa T, Dazai T, Doise J, Ren J, Bezik C, Segal-Peretz T, Gronheid R, Rincon-Delgadillo P, Yamazaki A, Pablo Jd, Nealey PF. Studying the effects of chemistry and geometry on DSA hole-shrink process in three-dimensions Journal of Micro-Nanolithography Mems and Moems. 17: 31203. DOI: 10.1117/1.Jmm.17.3.031203  0.329
2018 Zhang X, He Q, Chen Q, Nealey PF, Ji S. Directed Self-Assembly of High χ Poly(styrene-b-(lactic acid-alt-glycolic acid)) Block Copolymers on Chemical Patterns via Thermal Annealing Acs Macro Letters. 7: 751-756. DOI: 10.1021/Acsmacrolett.8B00293  0.399
2018 Sevgen E, Dolejsi M, Nealey PF, Hubbell JA, de Pablo JJ. Nanocrystalline Oligo(ethylene sulfide)-b-poly(ethylene glycol) Micelles: Structure and Stability Macromolecules. 51: 9538-9546. DOI: 10.1021/Acs.Macromol.8B01812  0.391
2018 Xiong S, Li D, Hur S, Craig GSW, Arges CG, Qu X, Nealey PF. The Solvent Distribution Effect on the Self-Assembly of Symmetric Triblock Copolymers during Solvent Vapor Annealing Macromolecules. 51: 7145-7151. DOI: 10.1021/Acs.Macromol.8B01275  0.713
2018 Zhang Y, Yang G, Wang Y, Lu X, Wu G, Zhang Z, Wang K, Zhang R, Nealey PF, Darensbourg DJ, Xu Z. Synthesis of CO2-Based Block Copolymers via Chain Transfer Polymerization Using Macroinitiators: Activity, Blocking Efficiency, and Nanostructure Macromolecules. 51: 791-800. DOI: 10.1021/Acs.Macromol.7B02231  0.378
2018 Waldman RZ, Choudhury D, Mandia DJ, Elam JW, Nealey PF, Martinson ABF, Darling SB. Sequential Infiltration Synthesis of Al2O3 in Polyethersulfone Membranes Jom. 71: 212-223. DOI: 10.1007/S11837-018-3142-3  0.325
2018 Liu Z, Dong BX, Misra M, Sun Y, Strzalka J, Patel SN, Escobedo FA, Nealey PF, Ober CK. Self-Assembly Behavior of an Oligothiophene-Based Conjugated Liquid Crystal and Its Implication for Ionic Conductivity Characteristics Advanced Functional Materials. 29: 1805220. DOI: 10.1002/Adfm.201805220  0.553
2017 Zhang Q, Matsuoka F, Suh HS, Beaucage PA, Xiong S, Smilgies DM, Tan KW, Werner JG, Nealey PF, Wiesner UB. Pathways to Mesoporous Resin/Carbon Thin Films with Alternating Gyroid Morphology. Acs Nano. PMID 29236479 DOI: 10.1021/Acsnano.7B06436  0.432
2017 Raybin J, Ren J, Chen X, Gronheid R, Nealey PF, Sibener SJ. Real-Time Atomic Force Microscopy Imaging of Block Copolymer Directed Self Assembly. Nano Letters. 17: 7717-7723. PMID 29172538 DOI: 10.1021/Acs.Nanolett.7B03881  0.446
2017 Armas-Pérez JC, Li X, Martínez-González JA, Smith C, Hernandez-Ortiz JP, Nealey PF, de Pablo JJ. Sharp morphological transitions from nanoscale mixed-anchoring patterns in confined nematic liquid crystals. Langmuir : the Acs Journal of Surfaces and Colloids. PMID 28946745 DOI: 10.1021/Acs.Langmuir.7B02522  0.508
2017 Li X, Martínez-González JA, Hernández-Ortiz JP, Ramírez-Hernández A, Zhou Y, Sadati M, Zhang R, Nealey PF, de Pablo JJ. Mesoscale martensitic transformation in single crystals of topological defects. Proceedings of the National Academy of Sciences of the United States of America. PMID 28874557 DOI: 10.1073/Pnas.1711207114  0.47
2017 Chang TH, Xiong S, Liu CC, Liu D, Nealey PF, Ma Z. The One-Pot Directed Assembly of Cylinder-Forming Block Copolymer on Adjacent Chemical Patterns for Bimodal Patterning. Macromolecular Rapid Communications. PMID 28749034 DOI: 10.1002/Marc.201700285  0.668
2017 Martínez-González JA, Li X, Sadati M, Zhou Y, Zhang R, Nealey PF, de Pablo JJ. Directed self-assembly of liquid crystalline blue-phases into ideal single-crystals. Nature Communications. 8: 15854. PMID 28621314 DOI: 10.1038/Ncomms15854  0.496
2017 Li X, Armas-Pérez JC, Hernández-Ortíz JP, Arges CG, Liu X, Martínez-González JA, Ocola LE, Bishop C, Xie H, de Pablo JJ, Nealey PF. Directed Self-Assembly of Colloidal Particles onto Nematic Liquid Crystalline Defects Engineered by Chemically Patterned Surfaces. Acs Nano. PMID 28605183 DOI: 10.1021/Acsnano.7B03641  0.721
2017 Pekdemir S, Karabel S, Kiremitler NB, Liu X, Nealey P, Onses MS. Modulating the kinetics of nanoparticle adsorption for simple and high yield fabrication of plasmonic heterostructures as SERS substrates. Chemphyschem : a European Journal of Chemical Physics and Physical Chemistry. PMID 28557235 DOI: 10.1002/Cphc.201700368  0.689
2017 Sunday DF, Ren J, Liman CD, Williamson LD, Gronheid R, Nealey PF, Kline RJ. Characterizing Patterned Block Copolymer Thin Films with Soft X-rays. Acs Applied Materials & Interfaces. PMID 28541658 DOI: 10.1021/Acsami.7B02791  0.43
2017 Suh HS, Kim DH, Moni P, Xiong S, Ocola LE, Zaluzec NJ, Gleason KK, Nealey PF. Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat. Nature Nanotechnology. PMID 28346456 DOI: 10.1038/Nnano.2017.34  0.487
2017 Yang GW, Wu GP, Chen X, Xiong S, Arges CG, Ji S, Nealey PF, Lu XB, Darensbourg DJ, Xu ZK. Directed Self-Assembly of Polystyrene-b-poly(propylene carbonate) on Chemical Patterns via Thermal Annealing for Next-Generation Lithography. Nano Letters. PMID 28068100 DOI: 10.1021/Acs.Nanolett.6B05059  0.706
2017 Kambe Y, Arges CG, Patel S, Stoykovish MP, Nealey PF. Ion Conduction in Microphase-Separated Block Copolymer Electrolytes The Electrochemical Society Interface. 26: 61-67. DOI: 10.1149/2.F07171If  0.716
2017 Sunday D, Liman C, Hannon AF, Ren J, Chen X, Suh HS, Pablo JJd, Nealey PF, Kline RJ. Evaluating structure in thin block copolymer films with soft x-rays (Conference Presentation) Proceedings of Spie. 10146: 1014612. DOI: 10.1117/12.2258047  0.44
2017 Arges CG, Kambe Y, Dolejsi M, Wu G, Segal-Pertz T, Ren J, Cao C, Craig GSW, Nealey PF. Interconnected ionic domains enhance conductivity in microphase separated block copolymer electrolytes Journal of Materials Chemistry. 5: 5619-5629. DOI: 10.1039/C6Ta10838E  0.673
2017 Khaira G, Doxastakis M, Bowen A, Ren J, Suh HS, Segal-Peretz T, Chen X, Zhou C, Hannon AF, Ferrier NJ, Vishwanath V, Sunday DF, Gronheid R, Kline RJ, de Pablo JJ, ... Nealey PF, et al. Derivation of Multiple Covarying Material and Process Parameters Using Physics-Based Modeling of X-ray Data Macromolecules. 50: 7783-7793. DOI: 10.1021/Acs.Macromol.7B00691  0.392
2017 Barrows F, Nealey P, Segal-Peretz T, Stan L, Elam J, Mane A, Porath E, Phatak C, Petford-Long A. Honeycomb Networks of Metal Oxides from Self-Assembling PS-PMMA Block Copolymers Microscopy and Microanalysis. 23: 1654-1655. DOI: 10.1017/S1431927617008935  0.325
2017 Liu G, Nealey PF. Improved block copolymer domain dispersity on chemical patterns via homopolymer-blending and molecular transfer printing Polymer. 116: 99-104. DOI: 10.1016/J.Polymer.2017.03.049  0.618
2017 Xie H, Li X, Suh HS, Ren J, Wan L, Craig GSW, Arges CG, Nealey PF. Water‐soluble top coats for orientation control of liquid crystal‐containing block copolymer films Journal of Polymer Science Part B. 55: 1569-1574. DOI: 10.1002/Polb.24420  0.702
2017 Xie H, Li X, Ren J, Bishop C, Arges CG, Nealey PF. Controlling domain orientation of liquid crystalline block copolymer in thin films through tuning mesogenic chemical structures Journal of Polymer Science Part B. 55: 532-541. DOI: 10.1002/Polb.24302  0.73
2017 Zhou C, Segal-Peretz T, Oruc ME, Suh HS, Wu G, Nealey PF. Fabrication of Nanoporous Alumina Ultrafiltration Membrane with Tunable Pore Size Using Block Copolymer Templates Advanced Functional Materials. 27: 1701756. DOI: 10.1002/Adfm.201701756  0.426
2016 Li X, Liu Y, Wan L, Li Z, Suh H, Ren J, Ocola LE, Hu W, Ji S, Nealey PF. Effect of Stereochemistry on Directed Self-Assembly of Poly(styrene--lactide) Films on Chemical Patterns. Acs Macro Letters. 5: 396-401. PMID 35614711 DOI: 10.1021/acsmacrolett.6b00011  0.391
2016 Segal-Peretz T, Ren J, Xiong S, Khaira G, Bowen A, Ocola LE, Divan R, Doxastakis M, Ferrier NJ, de Pablo JJ, Nealey PF. Quantitative Three Dimensional Characterization of Block Copolymer Directed Self -Assembly on Combined Chemical and Topographical Pre-Patterned Templates. Acs Nano. PMID 28005329 DOI: 10.1021/Acsnano.6B05657  0.61
2016 Li X, Armas-Perez JC, Martinez-Gonzalez JA, Liu X, Xie H, Bishop C, Hernandez-Ortiz JP, Zhang R, de Pablo JJ, Nealey PF. Directed self-assembly of nematic liquid crystals on chemically patterned surfaces: morphological states and transitions. Soft Matter. PMID 27722676 DOI: 10.1039/C6Sm01733A  0.575
2016 Ren J, Ocola LE, Divan R, Czaplewski DA, Segal-Peretz T, Xiong S, Kline RJ, Arges CG, Nealey PF. Post-directed-self-assembly membrane fabrication for in situ analysis of block copolymer structures. Nanotechnology. 27: 435303. PMID 27659775 DOI: 10.1088/0957-4484/27/43/435303  0.701
2016 Xiong S, Chapuis YA, Wan L, Gao H, Li X, Ruiz R, Nealey PF. Directed self-assembly of high-chi block copolymer for nano fabrication of bit patterned media via solvent annealing. Nanotechnology. 27: 415601. PMID 27606926 DOI: 10.1088/0957-4484/27/41/415601  0.5
2016 Chang TH, Xiong S, Jacobberger RM, Mikael S, Suh HS, Liu CC, Geng D, Wang X, Arnold MS, Ma Z, Nealey PF. Directed self-assembly of block copolymer films on atomically-thin graphene chemical patterns. Scientific Reports. 6: 31407. PMID 27528258 DOI: 10.1038/Srep31407  0.644
2016 Xiong S, Wan L, Ishida Y, Chapuis YA, Craig GS, Ruiz R, Nealey PF. Directed Self-Assembly of Triblock Copolymer on Chemical Patterns for Sub-10-nm Nanofabrication Via Solvent Annealing. Acs Nano. PMID 27482932 DOI: 10.1021/Acsnano.6B03667  0.833
2016 Sanguramath RA, Nealey PF, Shenhar R. Quasi-Block Copolymers Based on a General Polymeric Chain Stopper. Chemistry (Weinheim An Der Bergstrasse, Germany). PMID 27276387 DOI: 10.1002/Chem.201600478  0.374
2016 Liu X, McBride SP, Jaeger HM, Nealey PF. Hybrid nanostructures of well-organized arrays of colloidal quantum dots and a self-assembled monolayer of gold nanoparticles for enhanced fluorescence. Nanotechnology. 27: 285301. PMID 27251019 DOI: 10.1088/0957-4484/27/28/285301  0.314
2016 Wan L, Ji S, Liu CC, Craig GS, Nealey PF. Directed self-assembly of solvent-vapor-induced non-bulk block copolymer morphologies on nanopatterned substrates. Soft Matter. PMID 26891026 DOI: 10.1039/C5Sm02829A  0.836
2016 Jin HM, Lee SH, Kim JY, Son SW, Kim BH, Lee HK, Mun JH, Cha SK, Kim JS, Nealey PF, Lee KJ, Kim SO. Laser Writing Block Copolymer Self-Assembly on Graphene Light Absorbing Layer. Acs Nano. PMID 26871736 DOI: 10.1021/Acsnano.5B07511  0.386
2016 Williamson LD, Seidel RN, Chen X, Suh HS, Rincon Delgadillo P, Gronheid R, Nealey PF. Three-tone Chemical Patterns for Block Copolymer Directed Self-Assembly. Acs Applied Materials & Interfaces. PMID 26742859 DOI: 10.1021/Acsami.5B10562  0.465
2016 Kawaue A, Matsumiya T, Seo T, Maehashi T, Seshimo T, Yamano H, Miyagi K, Dazai T, Chen X, Rincon-Delgadillo P, Gronheid R, Nealey PF, Ohmori K. Ionic Liquid for Directed Self-Assembly of PS-b-PMMA Journal of Photopolymer Science and Technology. 29: 667-670. DOI: 10.2494/Photopolymer.29.667  0.332
2016 Segal-Peretz T, Zhou C, Ren J, Dazai T, Ocola LE, Divan RNS, Nealey PF. Three Dimensional Assembly in Directed Self-assembly of Block Copolymers Journal of Photopolymer Science and Technology. 29: 653-657. DOI: 10.2494/Photopolymer.29.653  0.383
2016 Segal-Peretz T, Ren J, Nealey PF. 3D characterization of block copolymer films for lithography Spie Newsroom. DOI: 10.1117/2.1201605.006510  0.366
2016 Chen X, Seo T, Rincon-Delgadillo P, Matsumiya T, Kawaue A, Maehashi T, Gronheid R, Nealey PF. Directed self-assembly of PS-b-PMMA with ionic liquid addition Proceedings of Spie. 9779. DOI: 10.1117/12.2220420  0.423
2016 Suh HS, Chen X, Rincon-Delgadillo PA, Jiang Z, Strzalka J, Wang J, Chen W, Gronheid R, de Pablo JJ, Ferrier N, Doxastakis M, Nealey PF. Characterization of the shape and line-edge roughness of polymer gratings with grazing incidence small-angle X-ray scattering and atomic force microscopy Journal of Applied Crystallography. 49: 823-834. DOI: 10.1107/S1600576716004453  0.354
2016 Li X, Liu Y, Wan L, Li Z, Suh H, Ren J, Ocola LE, Hu W, Ji S, Nealey PF. Effect of Stereochemistry on Directed Self-Assembly of Poly(styrene-b-lactide) Films on Chemical Patterns Acs Macro Letters. 5: 396-401. DOI: 10.1021/Acsmacrolett.6B00011  0.462
2016 Arges CG, Kambe Y, Suh HS, Ocola LE, Nealey PF. Perpendicularly Aligned, Anion Conducting Nanochannels in Block Copolymer Electrolyte Films Chemistry of Materials. 28: 1377-1389. DOI: 10.1021/Acs.Chemmater.5B04452  0.733
2016 Ji S, Wan L, Liu CC, Nealey PF. Directed self-assembly of block copolymers on chemical patterns: A platform for nanofabrication Progress in Polymer Science. 54: 76-127. DOI: 10.1016/J.Progpolymsci.2015.10.006  0.617
2016 Wu G, Liu X, Chen X, Suh HS, Li X, Ren J, Arges CG, Li F, Jiang Z, Nealey PF. Directed Self‐Assembly of Hierarchical Supramolecular Block Copolymer Thin Films on Chemical Patterns Advanced Materials Interfaces. 3: 1600048. DOI: 10.1002/Admi.201600048  0.72
2015 Onses MS, Wan L, Liu X, Kiremitler NB, Yılmaz H, Nealey PF. Self-Assembled Nanoparticle Arrays on Chemical Nanopatterns Prepared Using Block Copolymer Lithography. Acs Macro Letters. 4: 1356-1361. PMID 35614782 DOI: 10.1021/acsmacrolett.5b00644  0.383
2015 Hur SM, Thapar V, Ramírez-Hernández A, Khaira G, Segal-Peretz T, Rincon-Delgadillo PA, Li W, Müller M, Nealey PF, de Pablo JJ. Molecular pathways for defect annihilation in directed self-assembly. Proceedings of the National Academy of Sciences of the United States of America. 112: 14144-9. PMID 26515095 DOI: 10.1073/Pnas.1508225112  0.576
2015 Liu X, Biswas S, Jarrett JW, Poutrina E, Urbas A, Knappenberger KL, Vaia RA, Nealey PF. Deterministic Construction of Plasmonic Heterostructures in Well-Organized Arrays for Nanophotonic Materials. Advanced Materials (Deerfield Beach, Fla.). PMID 26463579 DOI: 10.1002/Adma.201503336  0.359
2015 Segal-Peretz T, Winterstein J, Doxastakis M, Ramírez-Hernández A, Biswas M, Ren J, Suh HS, Darling SB, Liddle JA, Elam JW, de Pablo JJ, Zaluzec NJ, Nealey PF. Characterizing the Three-Dimensional Structure of Block Copolymers via Sequential Infiltration Synthesis and Scanning Transmission Electron Tomography. Acs Nano. 9: 5333-47. PMID 25919347 DOI: 10.1021/Acsnano.5B01013  0.581
2015 Biswas S, Liu X, Jarrett JW, Brown D, Pustovit V, Urbas A, Knappenberger KL, Nealey PF, Vaia RA. Nonlinear chiro-optical amplification by plasmonic nanolens arrays formed via directed assembly of gold nanoparticles. Nano Letters. 15: 1836-42. PMID 25646978 DOI: 10.1021/Nl504613Q  0.333
2015 Garner G, Williamson L, Seidel R, Rincon Delgadillo P, Hur SM, Gronheid R, Nealey PF, De Pablo JJ. The effects of geometry and chemistry of nanopatterned substrates on the directed self-assembly of block-copolymer melts Proceedings of Spie - the International Society For Optical Engineering. 9423. DOI: 10.1117/12.2085987  0.612
2015 Seidel R, Williamson L, Her Y, Kim J, Lin G, Nealey P, Gronheid R. The role of guide stripe chemistry in block copolymer directed self-assembly Proceedings of Spie - the International Society For Optical Engineering. 9425. DOI: 10.1117/12.2085905  0.477
2015 Williamson L, Kim J, Cao Y, Lin G, Gronheid R, Nealey PF. Impact of BCP asymmetry on DSA patterning performance Proceedings of Spie - the International Society For Optical Engineering. 9423. DOI: 10.1117/12.2085885  0.381
2015 Doxastakis M, Suh HS, Chen X, Rincon Delgadillo PA, Wan L, Williamson L, Jiang Z, Strzalka J, Wang J, Chen W, Ferrier N, Ramirez-Hernandez A, De Pablo JJ, Gronheid R, Nealey P. Grazing-incidence small angle x-ray scattering studies of nanoscale polymer gratings Proceedings of Spie - the International Society For Optical Engineering. 9424. DOI: 10.1117/12.2085824  0.574
2015 Segal-Peretz T, Winterstein J, Ren J, Biswas M, Liddle JA, Elam JW, Ocola LE, Divan RNS, Zaluzec N, Nealey PF. Metrology of DSA process using TEM tomography Proceedings of Spie - the International Society For Optical Engineering. 9424. DOI: 10.1117/12.2085577  0.408
2015 Pathangi H, Chan BT, Bayana H, Vandenbroeck N, Heuvel DVD, Look LV, Rincon-Delgadillo P, Cao Y, Kim J, Lin G, Parnell D, Nafus K, Harukawa R, Chikashi I, Polli M, ... ... Nealey P, et al. Defect mitigation and root cause studies in 14 nm half-pitch chemo-epitaxy directed self-assembly LiNe flow Journal of Micro/ Nanolithography, Mems, and Moems. 14. DOI: 10.1117/1.Jmm.14.3.031204  0.303
2015 Mi H, Mikael S, Liu CC, Seo JH, Gui G, Ma AL, Nealey PF, Ma Z. Creating periodic local strain in monolayer graphene with nanopillars patterned by self-assembled block copolymer Applied Physics Letters. 107. DOI: 10.1063/1.4932657  0.535
2015 Hur SM, Khaira GS, Ramírez-Hernández A, Müller M, Nealey PF, De Pablo JJ. Simulation of defect reduction in block copolymer thin films by solvent annealing Acs Macro Letters. 4: 11-15. DOI: 10.1021/Mz500705Q  0.589
2015 Onses MS, Wan L, Liu X, Kiremitler NB, Yilmaz H, Nealey PF. Self-Assembled Nanoparticle Arrays on Chemical Nanopatterns Prepared Using Block Copolymer Lithography Acs Macro Letters. 4: 1356-1361. DOI: 10.1021/Acsmacrolett.5B00644  0.757
2015 Hur SM, Onses MS, Ramírez-Hernández A, Nealey PF, Rogers JA, De Pablo JJ. Interplay of Surface Energy and Bulk Thermodynamic Forces in Ordered Block Copolymer Droplets Macromolecules. 48: 4717-4723. DOI: 10.1021/Acs.Macromol.5B00630  0.753
2015 Williamson LD, Nealey PF. Macrophase Separation of Blends of Diblock Copolymers in Thin Films Macromolecules. 48: 3997-4003. DOI: 10.1021/Acs.Macromol.5B00461  0.39
2015 Inoue T, Janes DW, Ren J, Suh HS, Chen X, Ellison CJ, Nealey PF. Molecular Transfer Printing of Block Copolymer Patterns over Large Areas with Conformal Layers Advanced Materials Interfaces. 2. DOI: 10.1002/Admi.201500133  0.336
2014 Hur SM, Khaira GS, Ramírez-Hernández A, Müller M, Nealey PF, de Pablo JJ. Simulation of Defect Reduction in Block Copolymer Thin Films by Solvent Annealing. Acs Macro Letters. 4: 11-15. PMID 35596395 DOI: 10.1021/mz500705q  0.533
2014 Khaira GS, Qin J, Garner GP, Xiong S, Wan L, Ruiz R, Jaeger HM, Nealey PF, de Pablo JJ. Evolutionary Optimization of Directed Self-Assembly of Triblock Copolymers on Chemically Patterned Substrates. Acs Macro Letters. 3: 747-752. PMID 35590693 DOI: 10.1021/mz5002349  0.542
2014 Li W, Nealey PF, de Pablo JJ, Müller M. Defect removal in the course of directed self-assembly is facilitated in the vicinity of the order-disorder transition. Physical Review Letters. 113: 168301. PMID 25361283 DOI: 10.1103/Physrevlett.113.168301  0.537
2014 Onses MS, Ramírez-Hernández A, Hur SM, Sutanto E, Williamson L, Alleyne AG, Nealey PF, de Pablo JJ, Rogers JA. Block copolymer assembly on nanoscale patterns of polymer brushes formed by electrohydrodynamic jet printing. Acs Nano. 8: 6606-13. PMID 24882265 DOI: 10.1021/Nn5022605  0.793
2014 Kim S, Nealey PF, Bates FS. Directed assembly of lamellae forming block copolymer thin films near the order-disorder transition. Nano Letters. 14: 148-52. PMID 24328810 DOI: 10.1021/Nl403628D  0.583
2014 Janes DW, Inoue T, McCoy BD, Madan I, Nealey PF, Grant Willson C, Ellison CJ. Photochemical reactions for replicating and aligning block copolymer thin film patterns Journal of Photopolymer Science and Technology. 27: 435-440. DOI: 10.2494/Photopolymer.27.435  0.396
2014 Seidel R, Delgadillo PR, Ramirez-Hernandez A, Wu H, Her Y, Yin J, Nealey P, De Pablo J, Gronheid R. Investigation of cross-linking poly(methyl methacrylate) as a guiding material in block copolymer directed self-assembly Proceedings of Spie - the International Society For Optical Engineering. 9051. DOI: 10.1117/12.2048179  0.605
2014 Williamson L, Lin G, Cao Y, Gronheid R, Nealey P. Tuning the strength of chemical patterns for directed self-assembly of block copolymers Proceedings of Spie - the International Society For Optical Engineering. 9049. DOI: 10.1117/12.2047585  0.433
2014 Gronheid R, Delgadillo PR, Pathangi H, Van Den Heuvel D, Parnell D, Chan BT, Lee YT, Van Look L, Cao Y, Her Y, Lin G, Harukawa R, Nagaswami V, D'Urzo L, Somervell M, ... Nealey P, et al. Defect reduction and defect stability in imec'S 14NM half pitch chemo-epitaxy DSA flow Proceedings of Spie - the International Society For Optical Engineering. 9049. DOI: 10.1117/12.2047265  0.34
2014 Ito C, Durant S, Lange S, Harukawa R, Miyagi T, Nagaswami V, Delgadillo PR, Gronheid R, Nealey P. Inspection of directed self-assembly defects Proceedings of Spie - the International Society For Optical Engineering. 9049. DOI: 10.1117/12.2046634  0.323
2014 Khaira GS, Qin J, Garner GP, Xiong S, Wan L, Ruiz R, Jaeger HM, Nealey PF, De Pablo JJ. Evolutionary optimization of directed self-assembly of triblock copolymers on chemically patterned substrates Acs Macro Letters. 3: 747-752. DOI: 10.1021/Mz5002349  0.591
2014 Ramírez-Hernández A, Suh HS, Nealey PF, De Pablo JJ. Control of directed self-assembly in block polymers by polymeric topcoats Macromolecules. 47: 3520-3527. DOI: 10.1021/Ma500411Q  0.605
2014 Jin X, Zhang X, Wan L, Nealey PF, Ji S. Fabrication of chemical patterns from graphoepitaxially assembled block copolymer films by molecular transfer printing Polymer (United Kingdom). 55: 3278-3283. DOI: 10.1016/J.Polymer.2014.05.040  0.491
2014 Van Look L, Rincon Delgadillo P, Lee YT, Pollentier I, Gronheid R, Cao Y, Lin G, Nealey PF. High throughput grating qualification of directed self-assembly patterns using optical metrology Microelectronic Engineering. 123: 175-179. DOI: 10.1016/J.Mee.2014.08.008  0.323
2013 Huang Y, Kim TW, Xiong S, Mawst LJ, Kuech TF, Nealey PF, Dai Y, Wang Z, Guo W, Forbes D, Hubbard SM, Nesnidal M. InAs nanowires grown by metal-organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning. Nano Letters. 13: 5979-84. PMID 24274630 DOI: 10.1021/Nl403163X  0.391
2013 Yanez-Soto B, Liliensiek SJ, Gasiorowski JZ, Murphy CJ, Nealey PF. The influence of substrate topography on the migration of corneal epithelial wound borders. Biomaterials. 34: 9244-51. PMID 24016856 DOI: 10.1016/J.Biomaterials.2013.08.042  0.785
2013 Onses MS, Song C, Williamson L, Sutanto E, Ferreira PM, Alleyne AG, Nealey PF, Ahn H, Rogers JA. Hierarchical patterns of three-dimensional block-copolymer films formed by electrohydrodynamic jet printing and self-assembly. Nature Nanotechnology. 8: 667-75. PMID 23975188 DOI: 10.1038/Nnano.2013.160  0.761
2013 Gasiorowski JZ, Murphy CJ, Nealey PF. Biophysical cues and cell behavior: the big impact of little things. Annual Review of Biomedical Engineering. 15: 155-76. PMID 23862676 DOI: 10.1146/Annurev-Bioeng-071811-150021  0.333
2013 Onses MS, Nealey PF. Tunable assembly of gold nanoparticles on nanopatterned poly(ethylene glycol) brushes. Small (Weinheim An Der Bergstrasse, Germany). 9: 4168-74. PMID 23839929 DOI: 10.1002/Smll.201300462  0.687
2013 Dreier B, Gasiorowski JZ, Morgan JT, Nealey PF, Russell P, Murphy CJ. Early responses of vascular endothelial cells to topographic cues. American Journal of Physiology. Cell Physiology. 305: C290-8. PMID 23703527 DOI: 10.1152/Ajpcell.00264.2012  0.308
2013 Thode CJ, Cook PL, Jiang Y, Serdar Onses M, Ji S, Himpsel FJ, Nealey PF. In situ metallization of patterned polymer brushes created by molecular transfer print and fill. Nanotechnology. 24: 155602. PMID 23518622 DOI: 10.1088/0957-4484/24/15/155602  0.45
2013 Efremov MY, Thode C, Nealey PF. Demonstration of glass transition temperature shift in thin supported polystyrene films by internal reference method. The Review of Scientific Instruments. 84: 023905. PMID 23464225 DOI: 10.1063/1.4793226  0.348
2013 Yañez-Soto B, Liliensiek SJ, Murphy CJ, Nealey PF. Biochemically and topographically engineered poly(ethylene glycol) diacrylate hydrogels with biomimetic characteristics as substrates for human corneal epithelial cells. Journal of Biomedical Materials Research. Part A. 101: 1184-94. PMID 23255502 DOI: 10.1002/Jbm.A.34412  0.796
2013 Tocce EJ, Liliensiek SJ, Broderick AH, Jiang Y, Murphy KC, Murphy CJ, Lynn DM, Nealey PF. The influence of biomimetic topographical features and the extracellular matrix peptide RGD on human corneal epithelial contact guidance. Acta Biomaterialia. 9: 5040-51. PMID 23069317 DOI: 10.1016/J.Actbio.2012.10.007  0.8
2013 Raghunathan VK, McKee CT, Tocce EJ, Nealey PF, Russell P, Murphy CJ. Nuclear and cellular alignment of primary corneal epithelial cells on topography. Journal of Biomedical Materials Research. Part A. 101: 1069-79. PMID 22965583 DOI: 10.1002/Jbm.A.34417  0.795
2013 Rincon-Delgadillo P, Craig G, Gronheid R, Nealey PF. Scale-up of a chemo-epitaxy flow for feature multiplication using directed self- assembly of block-copolymers Journal of Photopolymer Science and Technology. 26: 831-839. DOI: 10.2494/Photopolymer.26.831  0.805
2013 Yoshida H, Suh HS, Ramírez-Hernández A, Lee JI, Aida K, Wan L, Ishida Y, Tada Y, Ruiz R, de Pablo J, Nealey PF. Topcoat approaches for directed self-assembly of strongly segregating block copolymer thin films Journal of Photopolymer Science and Technology. 26: 55-58. DOI: 10.2494/Photopolymer.26.55  0.582
2013 Gronheid R, Van Look L, Rincon Delgadillo P, Ivan P, Cao Y, Lin G, Nealey PF. High throughput grating qualification for rating directed self-assembly pattern performance using optical metrology Journal of Photopolymer Science and Technology. 26: 147-152. DOI: 10.2494/Photopolymer.26.147  0.333
2013 Gronheid R, Singh A, Younkin TR, Rincon Delgadillo P, Nealey P, Chan BT, Nafus K, Negreira AR, Somervell M. Rectification of EUV-patterned contact holes using directed self-assembly Proceedings of Spie - the International Society For Optical Engineering. 8682. DOI: 10.1117/12.2012667  0.373
2013 Rincon Delgadillo P, Harukawa R, Suri M, Durant S, Cross A, Nagaswami VR, Van Den Heuvel D, Gronheid R, Nealey P. Defect source analysis of directed self-assembly process (DSA of DSA) Proceedings of Spie - the International Society For Optical Engineering. 8680. DOI: 10.1117/12.2011674  0.314
2013 Cao Y, Her YJ, Delgadillo PR, Vandenbroeck N, Gronheid R, Chan BT, Hashimoto Y, Romo A, Somervell M, Nafus K, Nealey PF. Using process monitor wafers to understand directed self-assembly defects Proceedings of Spie - the International Society For Optical Engineering. 8680. DOI: 10.1117/12.2011658  0.31
2013 Rincon Delgadillo PA, Gronheid R, Lin G, Cao Y, Romo A, Somervell M, Nafus K, Nealey PF. Process sensitivities in exemplary chemo-epitaxy directed self-assembly integration Proceedings of Spie - the International Society For Optical Engineering. 8680. DOI: 10.1117/12.2011446  0.431
2013 Delgadillo PR, Suri M, Durant S, Cross AJ, Nagaswami VR, Heuvel DVd, Gronheid R, Nealey PF. Defect source analysis of directed self-assembly process Journal of Micro-Nanolithography Mems and Moems. 12: 31112-31112. DOI: 10.1117/1.Jmm.12.3.031112  0.331
2013 Wan LS, Rincon Delgadillo PA, Gronheid R, Nealey PF. Directed self-assembly of ternary blends of block copolymer and homopolymers on chemical patterns Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 31. DOI: 10.1116/1.4818882  0.498
2013 Chang CC, Botez D, Wan L, Nealey PF, Ruder S, Kuech TF. Fabrication of large-area, high-density Ni nanopillar arrays on GaAs substrates using diblock copolymer lithography and electrodeposition Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 31. DOI: 10.1116/1.4798464  0.349
2013 Janes DW, Thode CJ, Willson CG, Nealey PF, Ellison CJ. Light-activated replication of block copolymer fingerprint patterns Macromolecules. 46: 4510-4519. DOI: 10.1021/Ma400065T  0.417
2013 Welander AM, Craig GSW, Tada Y, Yoshida H, Nealey PF. Directed assembly of block copolymers in thin to thick films Macromolecules. 46: 3915-3921. DOI: 10.1021/Ma3025706  0.817
2013 Liu CC, Ramírez-Hernández A, Han E, Craig GSW, Tada Y, Yoshida H, Kang H, Ji S, Gopalan P, De Pablo JJ, Nealey PF. Chemical patterns for directed self-assembly of lamellae-forming block copolymers with density multiplication of features Macromolecules. 46: 1415-1424. DOI: 10.1021/Ma302464N  0.72
2013 Onses MS, Nealey PF. Tunable assembly of gold nanoparticles on nanopatterned poly(ethylene glycol) brushes Small. 9: 4168-4174. DOI: 10.1002/smll.201300462  0.657
2013 Bates CM, Pantoja MAB, Strahan JR, Dean LM, Mueller BK, Ellison CJ, Nealey PF, Willson CG. Synthesis and thin-film orientation of poly(styrene-block- trimethylsilylisoprene) Journal of Polymer Science, Part a: Polymer Chemistry. 51: 290-297. DOI: 10.1002/Pola.26375  0.448
2013 Srithep Y, Nealey P, Turng LS. Effects of annealing time and temperature on the crystallinity and heat resistance behavior of injection-molded poly(lactic acid) Polymer Engineering and Science. 53: 580-588. DOI: 10.1002/Pen.23304  0.309
2012 Nagpal U, Müller M, Nealey PF, de Pablo JJ. Free Energy of Defects in Ordered Assemblies of Block Copolymer Domains. Acs Macro Letters. 1: 418-422. PMID 35578514 DOI: 10.1021/mz200245s  0.533
2012 Wilson MJ, Jiang Y, Yañez-Soto B, Liliensiek S, Murphy WL, Nealey PF. Arrays of topographically and peptide-functionalized hydrogels for analysis of biomimetic extracellular matrix properties. Journal of Vacuum Science and Technology. B, Nanotechnology & Microelectronics : Materials, Processing, Measurement, & Phenomena : Jvst B. 30: 6F903. PMID 23847749 DOI: 10.1116/1.4762842  0.78
2012 Wilson MJ, Liliensiek SJ, Murphy CJ, Murphy WL, Nealey PF. Hydrogels with well-defined peptide-hydrogel spacing and concentration: impact on epithelial cell behavior(). Soft Matter. 8: 390-398. PMID 23264803 DOI: 10.1039/C1Sm06589K  0.55
2012 Efremov MY, Kiyanova AV, Last J, Soofi SS, Thode C, Nealey PF. Glass transition in thin supported polystyrene films probed by temperature-modulated ellipsometry in vacuum. Physical Review. E, Statistical, Nonlinear, and Soft Matter Physics. 86: 021501. PMID 23005763 DOI: 10.1103/Physreve.86.021501  0.362
2012 Wood JA, Ly I, Borjesson DL, Nealey PF, Russell P, Murphy CJ. The modulation of canine mesenchymal stem cells by nano-topographic cues. Experimental Cell Research. 318: 2438-45. PMID 22771362 DOI: 10.1016/J.Yexcr.2012.06.022  0.355
2012 Nepal D, Onses MS, Park K, Jespersen M, Thode CJ, Nealey PF, Vaia RA. Control over position, orientation, and spacing of arrays of gold nanorods using chemically nanopatterned surfaces and tailored particle-particle-surface interactions. Acs Nano. 6: 5693-701. PMID 22647144 DOI: 10.1021/Nn301824U  0.732
2012 Ji S, Nagpal U, Liu G, Delcambre SP, Müller M, de Pablo JJ, Nealey PF. Directed assembly of non-equilibrium ABA triblock copolymer morphologies on nanopatterned substrates. Acs Nano. 6: 5440-8. PMID 22559146 DOI: 10.1021/Nn301306V  0.82
2012 Onses MS, Liu CC, Thode CJ, Nealey PF. Highly selective immobilization of Au nanoparticles onto isolated and dense nanopatterns of poly(2-vinyl pyridine) brushes down to single-particle resolution. Langmuir : the Acs Journal of Surfaces and Colloids. 28: 7299-307. PMID 22497347 DOI: 10.1021/La300552W  0.752
2012 Farrell RA, Kinahan NT, Hansel S, Stuen KO, Petkov N, Shaw MT, West LE, Djara V, Dunne RJ, Varona OG, Gleeson PG, Jung SJ, Kim HY, Koleśnik MM, Lutz T, ... ... Nealey PF, et al. Large-scale parallel arrays of silicon nanowires via block copolymer directed self-assembly. Nanoscale. 4: 3228-36. PMID 22481430 DOI: 10.1039/C2Nr00018K  0.812
2012 Liu G, Ramírez-Hernández A, Yoshida H, Nygård K, Satapathy DK, Bunk O, de Pablo JJ, Nealey PF. Morphology of lamellae-forming block copolymer films between two orthogonal chemically nanopatterned striped surfaces. Physical Review Letters. 108: 065502. PMID 22401084 DOI: 10.1103/Physrevlett.108.065502  0.731
2012 Myrna KE, Mendonsa R, Russell P, Pot SA, Liliensiek SJ, Jester JV, Nealey PF, Brown D, Murphy CJ. Substratum topography modulates corneal fibroblast to myofibroblast transformation. Investigative Ophthalmology & Visual Science. 53: 811-6. PMID 22232431 DOI: 10.1167/Iovs.11-7982  0.314
2012 Watari S, Hayashi K, Wood JA, Russell P, Nealey PF, Murphy CJ, Genetos DC. Modulation of osteogenic differentiation in hMSCs cells by submicron topographically-patterned ridges and grooves. Biomaterials. 33: 128-36. PMID 21982295 DOI: 10.1016/J.Biomaterials.2011.09.058  0.324
2012 Tocce EJ, Broderick AH, Murphy KC, Liliensiek SJ, Murphy CJ, Lynn DM, Nealey PF. Functionalization of reactive polymer multilayers with RGD and an antifouling motif: RGD density provides control over human corneal epithelial cell-substrate interactions. Journal of Biomedical Materials Research. Part A. 100: 84-93. PMID 21972074 DOI: 10.1002/Jbm.A.33233  0.816
2012 Rincon Delgadilloa PA, Gronheid R, Thode CJ, Wu H, Cao Y, Lin G, Somervell M, Nafus K, Nealey PF. Geometric control of chemically nano-patterned substrates for feature multiplication using directed self-assembly of block copolymers Journal of Photopolymer Science and Technology. 25: 77-81. DOI: 10.2494/Photopolymer.25.77  0.442
2012 Wan L, Ruiz R, Gao H, Patel KC, Lille J, Zeltzer G, Dobisz EA, Bogdanov A, Nealey PF, Albrecht TR. Fabrication of templates with rectangular bits on circular tracks by combining block copolymer directed self-assembly and nanoimprint lithography Proceedings of Spie - the International Society For Optical Engineering. 8323. DOI: 10.1117/12.916592  0.446
2012 Rincon Delgadillo PA, Gronheid R, Thode CJ, Wu H, Cao Y, Somervell M, Nafus K, Nealey PF. All track directed self-assembly of block copolymers: Process flow and origin of defects Proceedings of Spie - the International Society For Optical Engineering. 8323. DOI: 10.1117/12.916410  0.397
2012 Somervell M, Gronheid R, Hooge J, Nafus K, Delgadillo PR, Thode C, Younkin T, Matsunaga K, Rathsack B, Scheer S, Nealey P. Comparison of directed self-assembly integrations Proceedings of Spie - the International Society For Optical Engineering. 8325. DOI: 10.1117/12.916406  0.347
2012 Gronheid R, Rincon Delgadillo PA, Younkin TR, Pollentier I, Somervell M, Hooge JS, Nafus K, Nealey PF. Frequency multiplication of lamellar phase block copolymers with grapho-epitaxy directed self-assembly sensitivity to prepattern Journal of Micro/Nanolithography, Mems, and Moems. 11. DOI: 10.1117/1.Jmm.11.3.031303  0.371
2012 Delgadillo PAR, Gronheid R, Thode CJ, Wu H, Cao Y, Neisser M, Somervell M, Nafus K, Nealey PF. Implementation of a chemo-epitaxy flow for directed self-assembly on 300-mm wafer processing equipment Journal of Micro/Nanolithography, Mems, and Moems. 11. DOI: 10.1117/1.Jmm.11.3.031302  0.448
2012 Delcambre SP, Ji S, Nealey PF. Mechanical properties of polymeric nanostructures fabricated through directed self-assembly of symmetric diblock and triblock copolymers Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 30. DOI: 10.1116/1.4766916  0.832
2012 Wilson MJ, Jiang Y, Yañez-Soto B, Liliensiek S, Murphy WL, Nealey PF. Arrays of topographically and peptide-functionalized hydrogels for analysis of biomimetic extracellular matrix properties Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 30. DOI: 10.1116/1.4762842  0.766
2012 Peter Yang CY, Yang EL, Steinhaus CA, Liu CC, Nealey PF, Skinner JL. Planar-localized surface plasmon resonance device by block-copolymer and nanoimprint lithography fabrication methods Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 30. DOI: 10.1116/1.3683475  0.544
2012 Liu G, Ramírez-Hernández A, Yoshida H, Nygård K, Satapathy DK, Bunk O, De Pablo JJ, Nealey PF. Morphology of lamellae-forming block copolymer films between two orthogonal chemically nanopatterned striped surfaces Physical Review Letters. 108. DOI: 10.1103/PhysRevLett.108.065502  0.537
2012 Nepal D, Onses MS, Park K, Jespersen M, Thode CJ, Nealey PF, Vaia RA. Control over position, orientation, and spacing of arrays of gold nanorods using chemically nanopatterned surfaces and tailored particle-particle-surface interactions Acs Nano. 6: 5693-5701. DOI: 10.1021/nn301824u  0.635
2012 Nagpal U, Müller M, Nealey PF, De Pablo JJ. Free energy of defects in ordered assemblies of block copolymer domains Acs Macro Letters. 1: 418-422. DOI: 10.1021/Mz200245S  0.584
2012 Kim S, Nealey PF, Bates FS. Decoupling bulk thermodynamics and wetting characteristics of block copolymer thin films Acs Macro Letters. 1: 11-14. DOI: 10.1021/Mz2000169  0.576
2012 Nygård K, Delcambre SP, Satapathy DK, Bunk O, Nealey PF, Van Der Veen JF. Size-dependent shape evolution of patterned polymer films studied in situ by phase-retrieval-based small-angle X-ray scattering Macromolecules. 45: 5798-5805. DOI: 10.1021/Ma300662S  0.814
2012 Liu G, Detcheverry F, Ramírez-Hernández A, Yoshida H, Tada Y, De Pablo JJ, Nealey PF. Nonbulk complex structures in thin films of symmetric block copolymers on chemically nanopatterned surfaces Macromolecules. 45: 3986-3992. DOI: 10.1021/Ma202777S  0.717
2012 Ramírez-Hernández A, Liu G, Nealey PF, De Pablo JJ. Symmetric diblock copolymers confined by two nanopatterned surfaces Macromolecules. 45: 2588-2596. DOI: 10.1021/Ma2026594  0.715
2012 Kang H, Craig GSW, Han E, Gopalan P, Nealey PF. Degree of perfection and pattern uniformity in the directed assembly of cylinder-forming block copolymer on chemically patterned surfaces Macromolecules. 45: 159-164. DOI: 10.1021/Ma202249N  0.472
2012 Son JG, Kang H, Kim KY, Lee JS, Nealey PF, Char K. Orientation change of diblock copolymer thin films by the addition of amphiphilic surfactants: Effect of film thickness and surfactant concentration Macromolecules. 45: 150-158. DOI: 10.1021/Ma201435V  0.396
2011 Kim S, Nealey PF, Bates FS. Decoupling Bulk Thermodynamics and Wetting Characteristics of Block Copolymer Thin Films. Acs Macro Letters. 1: 11-14. PMID 35578471 DOI: 10.1021/mz2000169  0.526
2011 McKee CT, Raghunathan VK, Nealey PF, Russell P, Murphy CJ. Topographic modulation of the orientation and shape of cell nuclei and their influence on the measured elastic modulus of epithelial cells. Biophysical Journal. 101: 2139-46. PMID 22067151 DOI: 10.1016/J.Bpj.2011.09.042  0.308
2011 Onses MS, Pathak P, Liu CC, Cerrina F, Nealey PF. Localization of multiple DNA sequences on nanopatterns. Acs Nano. 5: 7899-909. PMID 21899356 DOI: 10.1021/Nn2021277  0.729
2011 Ji S, Nagpal U, Liao W, Liu CC, de Pablo JJ, Nealey PF. Three-dimensional directed assembly of block copolymers together with two-dimensional square and rectangular nanolithography. Advanced Materials (Deerfield Beach, Fla.). 23: 3692-7. PMID 21735489 DOI: 10.1002/Adma.201101813  0.718
2011 Nagpal U, Kang H, Craig GS, Nealey PF, de Pablo JJ. Pattern dimensions and feature shapes of ternary blends of block copolymer and low molecular weight homopolymers directed to assemble on chemically nanopatterned surfaces. Acs Nano. 5: 5673-82. PMID 21661763 DOI: 10.1021/Nn201335V  0.833
2011 Päivänranta B, Sahoo PK, Tocce E, Auzelyte V, Ekinci Y, Solak HH, Liu CC, Stuen KO, Nealey PF, David C. Nanofabrication of broad-band antireflective surfaces using self-assembly of block copolymers. Acs Nano. 5: 1860-4. PMID 21323325 DOI: 10.1021/Nn103361D  0.82
2011 Liu G, Nealey PF, Ruiz R, Dobisz E, Patel KC, Albrecht TR. Fabrication of chevron patterns for patterned media with block copolymer directed assembly Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 29. DOI: 10.1116/1.3650697  0.638
2011 Liu CC, Thode CJ, Rincon Delgadillo PA, Craig GSW, Nealey PF, Gronheid R. Towards an all-track 300 mm process for directed self-assembly Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 29. DOI: 10.1116/1.3644341  0.591
2011 Nagpal U, Kang H, Craig GSW, Nealey PF, De Pablo JJ. Pattern dimensions and feature shapes of ternary blends of block copolymer and low molecular weight homopolymers directed to assemble on chemically nanopatterned surfaces Acs Nano. 5: 5673-5682. DOI: 10.1021/nn201335v  0.319
2011 Ji S, Liu CC, Liao W, Fenske AL, Craig GSW, Nealey PF. Domain orientation and grain coarsening in cylinder-forming poly(styrene-b-methyl methacrylate) films Macromolecules. 44: 4291-4300. DOI: 10.1021/Ma2005734  0.604
2011 Nagpal U, Detcheverry FA, Nealey PF, De Pablo JJ. Morphologies of linear triblock copolymers from Monte Carlo simulations Macromolecules. 44: 5490-5497. DOI: 10.1021/Ma200330F  0.392
2011 Liu CC, Han E, Onses MS, Thode CJ, Ji S, Gopalan P, Nealey PF. Fabrication of lithographically defined chemically patterned polymer brushes and mats Macromolecules. 44: 1876-1885. DOI: 10.1021/Ma102856T  0.783
2011 Tocce EJ, Liliensiek SJ, Wilson MJ, Yanez-Soto B, Nealey PF. 1.129 – Engineering the Biophysical Properties of Basement Membranes into Biomaterials: Fabrication and Effects on Cell Behavior Comprehensive Biomaterials. 1: 527-546. DOI: 10.1016/B978-0-08-055294-1.00053-2  0.775
2011 Onses MS, Thode CJ, Liu CC, Ji S, Cook PL, Himpsel FJ, Nealey PF. Site-specific placement of Au nanoparticles on chemical nanopatterns prepared by molecular transfer printing using block-copolymer films Advanced Functional Materials. 21: 3074-3082. DOI: 10.1002/Adfm.201100300  0.787
2011 Craig GSW, Nealey PF. Directed Self-Assembly of Block Copolymer Films Generating Micro- and Nanopatterns On Polymeric Materials. 199-216. DOI: 10.1002/9783527633449.ch10  0.313
2011 Tocce EJ, Liliensiek SJ, Wilson MJ, Yanez-Soto B, Nealey PF, Murphy CJ. Engineering the biophysical properties of basement membranes into biomaterials: Fabrication and effects on cell behavior Comprehensive Biomaterials. 1: 527-546.  0.766
2010 Stuen KO, Detcheverry FA, Craig GS, Thomas CS, Farrell RA, Morris MA, de Pablo JJ, Nealey PF. Graphoepitaxial assembly of asymmetric ternary blends of block copolymers and homopolymers. Nanotechnology. 21: 495301. PMID 21071827 DOI: 10.1088/0957-4484/21/49/495301  0.815
2010 Han E, Kang H, Liu CC, Nealey PF, Gopalan P. Graphoepitaxial assembly of symmetric block copolymers on weakly preferential substrates. Advanced Materials (Deerfield Beach, Fla.). 22: 4325-9. PMID 20806266 DOI: 10.1002/Adma.201001669  0.622
2010 Liliensiek SJ, Wood JA, Yong J, Auerbach R, Nealey PF, Murphy CJ. Modulation of human vascular endothelial cell behaviors by nanotopographic cues. Biomaterials. 31: 5418-26. PMID 20400175 DOI: 10.1016/J.Biomaterials.2010.03.045  0.34
2010 Galatsis K, Wang KL, Ozkan M, Ozkan CS, Huang Y, Chang JP, Monbouquette HG, Chen Y, Nealey P, Botros Y. Patterning and templating for nanoelectronics. Advanced Materials (Deerfield Beach, Fla.). 22: 769-78. PMID 20217787 DOI: 10.1002/Adma.200901689  0.327
2010 Detcheverry FA, Pike DQ, Nealey PF, Müller M, de Pablo JJ. Simulations of theoretically informed coarse grain models of polymeric systems. Faraday Discussions. 144: 111-25; discussion 2. PMID 20158025 DOI: 10.1039/B902283J  0.502
2010 Tocce EJ, Smirnov VK, Kibalov DS, Liliensiek SJ, Murphy CJ, Nealey PF. The ability of corneal epithelial cells to recognize high aspect ratio nanostructures. Biomaterials. 31: 4064-72. PMID 20153044 DOI: 10.1016/J.Biomaterials.2010.01.101  0.794
2010 Ji S, Liu CC, Liu G, Nealey PF. Molecular transfer printing using block copolymers. Acs Nano. 4: 599-609. PMID 20041629 DOI: 10.1021/nn901342j  0.709
2010 Hong AJ, Liu CC, Wang Y, Kim J, Xiu F, Ji S, Zou J, Nealey PF, Wang KL. Metal nanodot memory by self-assembled block copolymer lift-off. Nano Letters. 10: 224-9. PMID 19957954 DOI: 10.1021/Nl903340A  0.507
2010 Pot SA, Liliensiek SJ, Myrna KE, Bentley E, Jester JV, Nealey PF, Murphy CJ. Nanoscale topography-induced modulation of fundamental cell behaviors of rabbit corneal keratocytes, fibroblasts, and myofibroblasts. Investigative Ophthalmology & Visual Science. 51: 1373-81. PMID 19875665 DOI: 10.1167/Iovs.09-4074  0.348
2010 Ting YH, Liu CC, Park SM, Jiang H, Nealey PF, Wendt AE. Surface roughening of polystyrene and poly(methyl methacrylate) in Ar/O2 plasma etching Polymers. 2: 649-663. DOI: 10.3390/Polym2040649  0.639
2010 Kang H, Stuen KO, Nealey PF. Directed assembly of cylinder-forming ternary blend of block copolymer and their respective homopolymers on chemical patterns with density multiplication of features Journal of Photopolymer Science and Technology. 23: 297-299. DOI: 10.2494/Photopolymer.23.297  0.821
2010 Liu G, Kang H, Craig GSW, Detcheverry F, de Pablo JJ, Nealey PF, Tada Y, Yoshida H. Cross-sectional imaging of block copolymer thin films on chemically patterned surfaces Journal of Photopolymer Science and Technology. 23: 149-154. DOI: 10.2494/Photopolymer.23.149  0.624
2010 Jha S, Liu CC, Park JH, Wiedmann MK, Kuan TS, Babcock SE, Mawst LJ, Nealey PF, Kuech TF. Block copolymer templating for formation of quantum dots and lattice-mismatched semiconductor structures Materials Research Society Symposium Proceedings. 1258: 187-192. DOI: 10.1557/Proc-1258-Q13-05  0.512
2010 Craig GSW, Nealey PF. Directed assembly of block copolymers on lithographically defined surfaces Proceedings of Spie - the International Society For Optical Engineering. 7637. DOI: 10.1117/12.852263  0.476
2010 Liu G, Delcambre SP, Stuen KO, Craig GSW, De Pablo JJ, Nealey PF, Nygrd K, Satapathy DK, Bunk O, Solak HH. Mechanism and dynamics of block copolymer directed assembly with density multiplication on chemically patterned surfaces Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: C6B14-C6B19. DOI: 10.1116/1.3518918  0.818
2010 Kang H, Detcheverry F, Stuen KO, Craig GSW, De Pablo JJ, Gopalan P, Nealey PF. Shape control and density multiplication of cylinder-forming ternary block copolymer-homopolymer blend thin films on chemical patterns Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: C6B24-C6B29. DOI: 10.1116/1.3518910  0.834
2010 Liu CC, Nealey PF, Raub AK, Hakeem PJ, Brueck SRJ, Han E, Gopalan P. Integration of block copolymer directed assembly with 193 immersion lithography Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: C6B30-C6B34. DOI: 10.1116/1.3501348  0.635
2010 Yang EL, Liu CC, Yang CYP, Steinhaus CA, Nealey PF, Skinner JL. Nanofabrication of surface-enhanced Raman scattering device by an integrated block-copolymer and nanoimprint lithography method Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: C6M93-C6M97. DOI: 10.1116/1.3501341  0.592
2010 Delcambre SP, Riggleman RA, De Pablo JJ, Nealey PF. Mechanical properties of antiplasticized polymer nanostructures Soft Matter. 6: 2475-2483. DOI: 10.1039/B926843J  0.793
2010 Gomopoulos N, Saini G, Efremov M, Nealey PF, Nelson K, Fytas G. Nondestructive probing of mechanical anisotropy in polyimide films on nanoscale Macromolecules. 43: 1551-1555. DOI: 10.1021/Ma902509Y  0.363
2010 Stoykovich MP, Daoulas KC, Müller M, Kang H, De Pablo JJ, Nealey PF. Remediation of line edge roughness in chemical nanopatterns bythe directed assembly of overlying block copolymer films Macromolecules. 43: 2334-2342. DOI: 10.1021/Ma902494V  0.728
2010 Detcheverry FA, Liu G, Nealey PF, De Pablo JJ. Interpolation in the directed assembly of block copolymers on nanopatterned substrates: Simulation and experiments Macromolecules. 43: 3446-3454. DOI: 10.1021/Ma902332H  0.648
2010 Sun HS, Kang H, Liu CC, Nealey PF, Char K. Orientation of block copolymer resists on interlayer dielectrics with tunable surface energy Macromolecules. 43: 461-466. DOI: 10.1021/Ma901987Q  0.598
2010 Ji S, Liao W, Nealey PF. Block cooligomers: A generalized approach to controlling the wetting behavior of block copolymer thin films Macromolecules. 43: 6919-6922. DOI: 10.1021/Ma1007946  0.375
2010 Detcheverry FA, Nealey PF, De Pablo JJ. Directed assembly of a cylinder-forming diblock copolymer: Topographic and chemical patterns Macromolecules. 43: 6495-6504. DOI: 10.1021/Ma1006733  0.47
2010 Suh HS, Kang H, Nealey PF, Char K. Thickness dependence of neutral parameter windows for perpendicularly oriented block copolymer thin films Macromolecules. 43: 4744-4751. DOI: 10.1021/Ma100150J  0.395
2010 Liu CC, Craig GSW, Kang H, Ruiz R, Nealey PF, Ferrier NJ. Practical implementation of order parameter calculation for directed assembly of block copolymer thin films Journal of Polymer Science, Part B: Polymer Physics. 48: 2589-2603. DOI: 10.1002/Polb.22114  0.64
2010 Liu G, Thomas CS, Craig GSW, Nealey PF. Integration of density multiplication in the formation of device-oriented structures by directed assembly of block copolymer-homopolymer blends Advanced Functional Materials. 20: 1251-1257. DOI: 10.1002/Adfm.200902229  0.642
2009 Hirai T, Leolukman M, Liu CC, Han E, Kim YJ, Ishida Y, Hayakawa T, Kakimoto MA, Nealey PF, Gopalan P. One-Step Direct-Patterning Template Utilizing Self-Assembly of POSS-Containing Block Copolymers. Advanced Materials (Deerfield Beach, Fla.). 21: 4334-8. PMID 26042939 DOI: 10.1002/Adma.200900518  0.64
2009 Son JG, Bae WK, Kang H, Nealey PF, Char K. Placement control of nanomaterial arrays on the surface-reconstructed block copolymer thin films. Acs Nano. 3: 3927-34. PMID 19916550 DOI: 10.1021/Nn900914Q  0.465
2009 Detcheverry FA, Pike DQ, Nealey PF, Müller M, de Pablo JJ. Monte carlo simulation of coarse grain polymeric systems. Physical Review Letters. 102: 197801. PMID 19518996 DOI: 10.1103/Physrevlett.102.197801  0.48
2009 Soofi SS, Last JA, Liliensiek SJ, Nealey PF, Murphy CJ. The elastic modulus of Matrigel as determined by atomic force microscopy. Journal of Structural Biology. 167: 216-9. PMID 19481153 DOI: 10.1016/J.Jsb.2009.05.005  0.301
2009 Morin SA, La YH, Liu CC, Streifer JA, Hamers RJ, Nealey PF, Jin S. Assembly of nanocrystal arrays by block-copolymer-directed nucleation. Angewandte Chemie (International Ed. in English). 48: 2135-9. PMID 19199322 DOI: 10.1002/Anie.200805471  0.607
2009 McFarlin D, Finn K, Nealey P, Murphy C. Nanoscale through Substratum Topographic Cues Modulate Human Embryonic Stem Cell Self-Renewal Journal of Biomimetics, Biomaterials and Tissue Engineering. 2: 15-26. DOI: 10.4028/Www.Scientific.Net/Jbbte.2.15  0.318
2009 Park JH, Liu CC, Rathi MK, Mawst LJ, Nealey PF, Kuech TF. Nanoscale selective growth and optical characteristics of quantum dots on III-V substrates prepared by diblock copolymer nanopatterning Journal of Nanophotonics. 3. DOI: 10.1117/1.3085990  0.571
2009 Kang H, Kim YJ, Gopalan P, Nealey PF. Control of the critical dimensions and line edge roughness with pre-organized block copolymer pixelated photoresists Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 27: 2993-2997. DOI: 10.1116/1.3256632  0.418
2009 Liu G, Ji S, Stuen KO, Craig GSW, Nealey PF, Himpsel FJ. Modification of a polystyrene brush layer by insertion of poly(methyl methacrylate) molecules Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 27: 3038-3042. DOI: 10.1116/1.3253607  0.819
2009 Jha S, Liu CC, Kuan TS, Babcock SE, Nealey PF, Park JH, Mawst LJ, Kuech TF. Defect reduction in epitaxial GaSb grown on nanopatterned GaAs substrates using full wafer block copolymer lithography Applied Physics Letters. 95. DOI: 10.1063/1.3204013  0.401
2009 Detcheverry FA, Pike DQ, Nagpal U, Nealey PF, De Pablo JJ. Theoretically informed coarse grain simulations of block copolymer melts: Method and applications Soft Matter. 5: 4858-4865. DOI: 10.1039/B911646J  0.385
2009 Gomopoulos N, Cheng W, Efremov M, Nealey PF, Fytas G. Out-of-plane longitudinal elastic modulus of supported polymer thin films Macromolecules. 42: 7164-7167. DOI: 10.1021/Ma901246Y  0.386
2009 Stuen KO, Thomas CS, Liu G, Ferrier N, Nealey PF. Dimensional scaling of cylinders in thin films of block copolymer-Homopolymer ternary blends Macromolecules. 42: 5139-5145. DOI: 10.1021/Ma900520V  0.814
2009 Han E, Stuen KO, Leolukman M, Liu CC, Nealey PF, Gopalan P. Perpendicular orientation of domains in cylinder-forming block copolymer thick films by controlled interfacial interactions Macromolecules. 42: 4896-4901. DOI: 10.1021/Ma9002903  0.826
2009 Liu G, Stoykovich MP, Ji S, Stuen KO, Craig GSW, Nealey PF. Phase behavior and dimensional scaling of symmetric block copolymer-homopolymer ternary blends in thin films Macromolecules. 42: 3063-3072. DOI: 10.1021/Ma802773H  0.814
2009 Kim YJ, Kang H, Leolukman M, Nealey PF, Gopalan P. Synthesis of photoacid Generator-containing patternable diblock copolymers by reversible addition-fragmentation transfer polymerization Chemistry of Materials. 21: 3030-3032. DOI: 10.1021/Cm9015639  0.417
2008 Ruiz R, Kang H, Detcheverry FA, Dobisz E, Kercher DS, Albrecht TR, de Pablo JJ, Nealey PF. Density multiplication and improved lithography by directed block copolymer assembly. Science (New York, N.Y.). 321: 936-9. PMID 18703735 DOI: 10.1126/science.1157626  0.545
2008 Karuri NW, Nealey PF, Murphy CJ, Albrecht RM. Structural organization of the cytoskeleton in SV40 human corneal epithelial cells cultured on nano- and microscale grooves. Scanning. 30: 405-13. PMID 18626907 DOI: 10.1002/Sca.20123  0.777
2008 Kang H, Detcheverry FA, Mangham AN, Stoykovich MP, Daoulas KCh, Hamers RJ, Müller M, de Pablo JJ, Nealey PF. Hierarchical assembly of nanoparticle superstructures from block copolymer-nanoparticle composites. Physical Review Letters. 100: 148303. PMID 18518077 DOI: 10.1103/Physrevlett.100.148303  0.727
2008 Efremov MY, Soofi SS, Kiyanova AV, Munoz CJ, Burgardt P, Cerrina F, Nealey PF. Vacuum ellipsometry as a method for probing glass transition in thin polymer films. The Review of Scientific Instruments. 79: 043903. PMID 18447531 DOI: 10.1063/1.2901601  0.387
2008 Daoulas KCh, Müller M, Stoykovich MP, Kang H, de Pablo JJ, Nealey PF. Directed copolymer assembly on chemical substrate patterns: a phenomenological and single-chain-in-mean-field simulations study of the influence of roughness in the substrate pattern. Langmuir : the Acs Journal of Surfaces and Colloids. 24: 1284-95. PMID 18067336 DOI: 10.1021/La702482Z  0.766
2008 Fraser SA, Ting YH, Mallon KS, Wendt AE, Murphy CJ, Nealey PF. Sub-micron and nanoscale feature depth modulates alignment of stromal fibroblasts and corneal epithelial cells in serum-rich and serum-free media. Journal of Biomedical Materials Research. Part A. 86: 725-35. PMID 18041718 DOI: 10.1002/Jbm.A.31519  0.326
2008 Kang H, Craig GSW, Nealey PF. Directed assembly of asymmetric ternary block copolymer-homopolymer blends using symmetric block copolymer into checkerboard trimming chemical pattern Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 2495-2499. DOI: 10.1116/1.3013336  0.474
2008 Stuen KO, Liu C, Welander AM, Liu G, De Pablo JJ, Nealey PF, Satapathy DK, Nygrd K, Bunk O, Solak HH, Van Der Veen JF. In situ characterization of block copolymer ordering on chemically nanopatterned surfaces by time-resolved small angle x-ray scattering Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 2504-2508. DOI: 10.1116/1.2991977  0.811
2008 Welander AM, Nealey PF, Cao H, Bristol R. Impact of trench width roughness on the graphoepitaxial assembly of block copolymers Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 2484-2488. DOI: 10.1116/1.2987963  0.834
2008 Ting YH, Park SM, Liu CC, Liu X, Himpsel FJ, Nealey PF, Wendt AE. Plasma etch removal of poly(methyl methacrylate) in block copolymer lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1684-1689. DOI: 10.1116/1.2966433  0.667
2008 Cerrina F, Isoyan A, Jiang F, Cheng YC, Leonard Q, Wallace J, Heinrich K, Ho A, Efremov M, Nealey P. Extreme Ultraviolet Interferometric Lithography: A Path to Nanopatterning Synchrotron Radiation News. 21: 12-24. DOI: 10.1080/08940880802268236  0.307
2008 Ji S, Liu CC, Son JG, Gotrik K, Craig GSW, Gopalan P, Himpsel FJ, Char K, Nealey PF. Generalization of the use of random copolymers to control the wetting behavior of block copolymer films Macromolecules. 41: 9098-9103. DOI: 10.1021/Ma801861H  0.603
2008 Han E, Stuen KO, La YH, Nealey PF, Gopalan P. Effect of composition of substrate-modifying random copolymers on the orientation of symmetric and asymmetric diblock copolymer domains Macromolecules. 41: 9090-9097. DOI: 10.1021/Ma8018393  0.824
2008 Efremov MY, Kiyanova AV, Nealey PF. Temperature-modulated ellipsometry: A new probe for glass transition in thin supported polymer films Macromolecules. 41: 5978-5980. DOI: 10.1021/Ma8011416  0.345
2008 Park SM, Craig GSW, La YH, Nealey PF. Morphological reconstruction and ordering in films of sphere-forming block copolymers on striped chemically Patterned surfaces Macromolecules. 41: 9124-9129. DOI: 10.1021/Ma801039V  0.581
2008 Park SM, Craig GSW, Liu CC, La YH, Ferrier NJ, Nealey PF. Characterization of cylinder-forming block copolymers directed to assemble on spotted chemical patterns Macromolecules. 41: 9118-9123. DOI: 10.1021/Ma8009917  0.721
2008 Welander AM, Kang H, Stuen KO, Solak HH, Müller M, De Pablo JJ, Nealey PF. Rapid directed assembly of block copolymer films at elevated temperatures Macromolecules. 41: 2759-2761. DOI: 10.1021/Ma800056S  0.806
2008 Detcheverry FA, Kang H, Daoulas KC, Müller M, Nealey PF, De Pablo JJ. Monte Carlo simulations of a coarse grain model for block copolymers and nanocomposites Macromolecules. 41: 4989-5001. DOI: 10.1021/Ma702514V  0.345
2008 Stoykovich MP, Yoshimoto K, Nealey PF. Mechanical properties of polymer nanostructures: Measurements based on deformation in response to capillary forces Applied Physics a: Materials Science and Processing. 90: 277-283. DOI: 10.1007/S00339-007-4262-8  0.735
2008 Ji S, Liu G, Zheng F, Craig GSW, Himpsel FJ, Nealey PF. Preparation of neutral wetting brushes for block copolymer films from homopolymer blends Advanced Materials. 20: 3054-3060. DOI: 10.1002/Adma.200800048  0.608
2007 Stoykovich MP, Kang H, Daoulas KCh, Liu G, Liu CC, de Pablo JJ, Müller M, Nealey PF. Directed self-assembly of block copolymers for nanolithography: fabrication of isolated features and essential integrated circuit geometries. Acs Nano. 1: 168-75. PMID 19206647 DOI: 10.1021/Nn700164P  0.828
2007 Park SM, Ravindran P, La YH, Craig GS, Ferrier NJ, Nealey PF. Combinatorial generation and replication-directed assembly of complex and varied geometries with thin films of diblock copolymers. Langmuir : the Acs Journal of Surfaces and Colloids. 23: 9037-45. PMID 17645358 DOI: 10.1021/La7010327  0.839
2007 Papakonstantopoulos GJ, Doxastakis M, Nealey PF, Barrat JL, de Pablo JJ. Calculation of local mechanical properties of filled polymers. Physical Review. E, Statistical, Nonlinear, and Soft Matter Physics. 75: 031803. PMID 17500718 DOI: 10.1103/Physreve.75.031803  0.526
2007 Craig GSW, Nealey PF. Self-assembly of block copolymers on lithographically defined nanopatterned substrates Journal of Photopolymer Science and Technology. 20: 511-517. DOI: 10.2494/Photopolymer.20.511  0.482
2007 Park JH, Khandekar A, Park S, Mawst L, Kuech T, Nealey P. Selective GaAs Quantum Dot Array Growth using Dielectric and AlGaAs Masks Pattern-Transferred from Diblock Copolymer Mrs Proceedings. 1014. DOI: 10.1557/Proc-1014-Aa07-15  0.513
2007 Craig GSW, Nealey PF. Exploring the manufacturability of using block copolymers as resist materials in conjunction with advanced lithographic tools Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 25: 1969-1975. DOI: 10.1116/1.2801888  0.446
2007 Liu CC, Nealey PF, Ting YH, Wendt AE. Pattern transfer using poly(styrene-block-methyl methacrylate) copolymer films and reactive ion etching Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 25: 1963-1968. DOI: 10.1116/1.2801884  0.603
2007 La YH, Insik-In, Park SM, Meagley RP, Leolukman M, Gopalan P, Nealey PF. Pixelated chemically amplified resists: Investigation of material structure on the spatial distribution of photoacids and line edge roughness Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 25: 2508-2513. DOI: 10.1116/1.2800330  0.54
2007 Stuen KO, In I, Han E, Streifer JA, Hamers RJ, Nealey PF, Gopalan P. Imaging layers for the directed assembly of block copolymer films: Dependence of the physical and chemical properties of patterned polymer brushes on brush molecular weight Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 25: 1958-1962. DOI: 10.1116/1.2799970  0.82
2007 Keymeulen HR, Diaz A, Solak HH, David C, Pfeiffer F, Patterson BD, Van Der Veen JF, Stoykovich MP, Nealey PF. Measurement of the x-ray dose-dependent glass transition temperature of structured polymer films by x-ray diffraction Journal of Applied Physics. 102. DOI: 10.1063/1.2752548  0.654
2007 Cheng W, Sainidou R, Burgardt P, Stefanou N, Kiyanova A, Efremov M, Fytas G, Nealey PF. Elastic properties and glass transition of supported polymer thin films Macromolecules. 40: 7283-7290. DOI: 10.1021/Ma071227I  0.4
2007 Park SM, Craig GSW, La YH, Solak HH, Nealey PF. Square arrays of vertical cylinders of PS-b-PMMA on chemically nanopatterned surfaces Macromolecules. 40: 5084-5094. DOI: 10.1021/Ma0702344  0.59
2007 Edwards EW, Müller M, Stoykovich MP, Solak HH, De Pablo JJ, Nealey PF. Dimensions and shapes of block copolymer domains assembled on lithographically defined chemically patterned substrates Macromolecules. 40: 90-96. DOI: 10.1021/Ma0607564  0.737
2007 La YH, Stoykovich MP, Park SM, Nealey PF. Directed assembly of cylinder-forming block copolymers into patterned structures to fabricate arrays of spherical domains and nanoparticles Chemistry of Materials. 19: 4538-4544. DOI: 10.1021/Cm071208N  0.689
2007 Wallace J, Cheng Y, Isoyan A, Leonard Q, Fisher M, Green M, Bisognano J, Nealey P, Cerrina F. A novel EUV exposure station for nanotechnology studies Nuclear Instruments and Methods in Physics Research Section a: Accelerators, Spectrometers, Detectors and Associated Equipment. 582: 254-257. DOI: 10.1016/J.Nima.2007.08.124  0.325
2007 Ekinci Y, Solak HH, Padeste C, Gobrecht J, Stoykovich MP, Nealey PF. 20 nm Line/space patterns in HSQ fabricated by EUV interference lithography Microelectronic Engineering. 84: 700-704. DOI: 10.1016/J.Mee.2007.01.213  0.649
2007 Park SM, Stoykovich MP, Ruiz R, Zhang Y, Black CT, Nealey PF. Directed assembly of lamellae-forming block copolymers by using chemically and topographically patterned substrates Advanced Materials. 19: 607-611. DOI: 10.1002/Adma.200601421  0.757
2006 Daoulas KC, Müller M, de Pablo JJ, Nealey PF, Smith GD. Morphology of multi-component polymer systems: single chain in mean field simulation studies. Soft Matter. 2: 573-583. PMID 32680236 DOI: 10.1039/B602610A  0.578
2006 Karuri NW, Porri TJ, Albrecht RM, Murphy CJ, Nealey PF. Nano- and microscale holes modulate cell-substrate adhesion, cytoskeletal organization, and -beta1 integrin localization in SV40 human corneal epithelial cells. Ieee Transactions On Nanobioscience. 5: 273-80. PMID 17181027 DOI: 10.1109/Tnb.2006.886570  0.788
2006 Stoykovich MP, Edwards EW, Solak HH, Nealey PF. Phase behavior of symmetric ternary block copolymer-homopolymer blends in thin films and on chemically patterned surfaces. Physical Review Letters. 97: 147802. PMID 17155291 DOI: 10.1103/Physrevlett.97.147802  0.667
2006 In I, La YH, Park SM, Nealey PF, Gopalan P. Side-chain-grafted random copolymer brushes as neutral surfaces for controlling the orientation of block copolymer microdomains in thin films. Langmuir : the Acs Journal of Surfaces and Colloids. 22: 7855-60. PMID 16922574 DOI: 10.1021/La060748G  0.513
2006 Liliensiek SJ, Campbell S, Nealey PF, Murphy CJ. The scale of substratum topographic features modulates proliferation of corneal epithelial cells and corneal fibroblasts. Journal of Biomedical Materials Research. Part A. 79: 185-92. PMID 16817223 DOI: 10.1002/Jbm.A.30744  0.342
2006 Charrier A, Porri TJ, Murphy CJ, Nealey PF. A new method to characterize chemically and topographically nanopatterned surfaces. Journal of Biotechnology. 126: 196-204. PMID 16797764 DOI: 10.1016/J.Jbiotec.2006.04.036  0.811
2006 Teixeira AI, McKie GA, Foley JD, Bertics PJ, Nealey PF, Murphy CJ. The effect of environmental factors on the response of human corneal epithelial cells to nanoscale substrate topography. Biomaterials. 27: 3945-54. PMID 16580065 DOI: 10.1016/J.Biomaterials.2006.01.044  0.606
2006 Daoulas KCh, Müller M, Stoykovich MP, Park SM, Papakonstantopoulos YJ, de Pablo JJ, Nealey PF, Solak HH. Fabrication of complex three-dimensional nanostructures from self-assembling block copolymer materials on two-dimensional chemically patterned templates with mismatched symmetry. Physical Review Letters. 96: 036104. PMID 16486737 DOI: 10.1103/Physrevlett.96.036104  0.802
2006 Edwards EW, Stoykovich MP, Nealey PF, Solak HH. Binary blends of diblock copolymers as an effective route to multiple length scales in perfect directed self-assembly of diblock copolymer thin films Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 24: 340-344. DOI: 10.1116/1.2151226  0.749
2006 Kim SO, Kim BH, Kim K, Koo CM, Stoykovich MP, Nealey PF, Solak HH. Defect structure in thin films of a lamellar block copolymer self-assembled on neutral homogeneous and chemically nanopatterned surfaces Macromolecules. 39: 5466-5470. DOI: 10.1021/Ma060087U  0.714
2006 Edwards EW, Stoykovich MP, Solak HH, Nealey PF. Long-range order and orientation of cylinder-forming block copolymers on chemically nanopatterned striped surfaces Macromolecules. 39: 3598-3607. DOI: 10.1021/Ma052335C  0.732
2006 Stoykovich MP, Nealey PF. Block copolymers and conventional lithography Materials Today. 9: 20-29. DOI: 10.1016/S1369-7021(06)71619-4  0.69
2006 Park JH, Khandekar AA, Park SM, Mawst LJ, Kuech TF, Nealey PF. Selective MOCVD growth of single-crystal dense GaAs quantum dot array using cylinder-forming diblock copolymers Journal of Crystal Growth. 297: 283-288. DOI: 10.1016/J.Jcrysgro.2006.09.049  0.521
2006 Daoulas KC, Müller M, Stoykovich MP, Papakonstantopoulos YJ, De Pablo JJ, Nealey PF, Park SM, Solak HH. Directed assembly of copolymer materials on patterned substrates: Balance of simple symmetries in complex structures Journal of Polymer Science, Part B: Polymer Physics. 44: 2589-2604. DOI: 10.1002/Polb.20904  0.771
2006 Cheng W, Fytas G, Kiyanova AV, Efremov MY, Nealey PF. Submicron polymer gratings: Optical diffraction and spontaneous brillouin scattering Macromolecular Rapid Communications. 27: 702-706. DOI: 10.1002/Marc.200600019  0.375
2005 Xiao S, Yang X, Edwards EW, La YH, Nealey PF. Graphoepitaxy of cylinder-forming block copolymers for use as templates to pattern magnetic metal dot arrays. Nanotechnology. 16: S324-9. PMID 21727448 DOI: 10.1088/0957-4484/16/7/003  0.631
2005 Papakonstantopoulos GJ, Yoshimoto K, Doxastakis M, Nealey PF, de Pablo JJ. Local mechanical properties of polymeric nanocomposites. Physical Review. E, Statistical, Nonlinear, and Soft Matter Physics. 72: 031801. PMID 16241467 DOI: 10.1103/Physreve.72.031801  0.665
2005 La YH, Edwards EW, Park SM, Nealey PF. Directed assembly of cylinder-forming block copolymer films and thermochemically induced cylinder to sphere transition: a hierarchical route to linear arrays of nanodots. Nano Letters. 5: 1379-84. PMID 16178242 DOI: 10.1021/Nl0506913  0.575
2005 Diehl KA, Foley JD, Nealey PF, Murphy CJ. Nanoscale topography modulates corneal epithelial cell migration. Journal of Biomedical Materials Research. Part A. 75: 603-11. PMID 16106433 DOI: 10.1002/Jbm.A.30467  0.35
2005 Stoykovich MP, Müller M, Kim SO, Solak HH, Edwards EW, de Pablo JJ, Nealey PF. Directed assembly of block copolymer blends into nonregular device-oriented structures. Science (New York, N.Y.). 308: 1442-6. PMID 15933196 DOI: 10.1126/Science.1111041  0.745
2005 Endler EE, Nealey PF, Yin J. Fidelity of micropatterned cell cultures. Journal of Biomedical Materials Research. Part A. 74: 92-103. PMID 15920741 DOI: 10.1002/Jbm.A.30319  0.798
2005 Yoshimoto K, Jain TS, Nealey PF, de Pablo JJ. Local dynamic mechanical properties in model free-standing polymer thin films. The Journal of Chemical Physics. 122: 144712. PMID 15847558 DOI: 10.1063/1.1873732  0.677
2005 Junarsa I, Stoykovich MP, Nealey PF, Ma Y, Cerrina F, Solak HH. Hydrogen silsesquioxane as a high resolution negative-tone resist for extreme ultraviolet lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 23: 138-143. DOI: 10.1116/1.1849213  0.638
2005 Karuri NW, Nealey PF, Murphy CJ, Albrecht RM. Structural organization of the cytoskeleton in SV40 human corneal epithelial cells cultured on nano- and microscale topography Microscopy and Microanalysis. 11: 182-183. DOI: 10.1017/S1431927605506366  0.734
2005 Gonsalves KE, Thiyagarajan M, Choi JH, Zimmerman P, Cerrina F, Nealey P, Golovkina V, Wallace J, Batina N. High performance resist for EUV lithography Microelectronic Engineering. 77: 27-35. DOI: 10.1016/J.Mee.2004.08.003  0.317
2005 Edwards EW, Stoykovich MP, Müller M, Solak HH, De Pablo JJ, Nealey PF. Mechanism and kinetics of ordering in diblock copolymer thin films on chemically nanopatterned substrates Journal of Polymer Science, Part B: Polymer Physics. 43: 3444-3459. DOI: 10.1002/Polb.20643  0.735
2004 Yoshimoto K, Jain TS, Van Workum K, Nealey PF, de Pablo JJ. Mechanical heterogeneities in model polymer glasses at small length scales. Physical Review Letters. 93: 175501. PMID 15525087 DOI: 10.1103/Physrevlett.93.175501  0.651
2004 Teixeira AI, Nealey PF, Murphy CJ. Responses of human keratocytes to micro- and nanostructured substrates. Journal of Biomedical Materials Research. Part A. 71: 369-76. PMID 15470741 DOI: 10.1002/Jbm.A.30089  0.595
2004 Karuri NW, Liliensiek S, Teixeira AI, Abrams G, Campbell S, Nealey PF, Murphy CJ. Biological length scale topography enhances cell-substratum adhesion of human corneal epithelial cells. Journal of Cell Science. 117: 3153-64. PMID 15226393 DOI: 10.1242/Jcs.01146  0.791
2004 Junarsa I, Nealey PF. Fabrication of masters for nanoimprint, step and flash, and soft lithography using hydrogen silsesquioxane and x-ray lithography Journal of Vacuum Science & Technology B. 22: 2685-2690. DOI: 10.1116/1.1807838  0.334
2004 Pawloski AR, Nealey PF. Useful protocol for evaluating subtle and important differences between photoresist formulations Journal of Vacuum Science & Technology B. 22: 869-874. DOI: 10.1116/1.1695337  0.706
2004 Yoshimoto K, Stoykovich MP, Cao HB, De Pablo JJ, Nealey PF, Drugan WJ. A two-dimensional model of the deformation of photoresist structures using elastoplastic polymer properties Journal of Applied Physics. 96: 1857-1865. DOI: 10.1063/1.1768614  0.718
2004 Edwards EW, Montague MF, Solak HH, Hawker CJ, Nealey PF. Precise control over molecular dimensions of block-copolymer domains using the interfacial energy of chemically nanopatterned substrates Advanced Materials. 16: 1315-1319. DOI: 10.1002/Adma.200400763  0.803
2003 Kim SO, Solak HH, Stoykovich MP, Ferrier NJ, De Pablo JJ, Nealey PF. Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates. Nature. 424: 411-4. PMID 12879065 DOI: 10.1038/Nature01775  0.774
2003 Teixeira AI, Abrams GA, Bertics PJ, Murphy CJ, Nealey PF. Epithelial contact guidance on well-defined micro- and nanostructured substrates. Journal of Cell Science. 116: 1881-92. PMID 12692189 DOI: 10.1242/Jcs.00383  0.615
2003 Endler EE, Duca KA, Nealey PF, Whitesides GM, Yin J. Propagation of viruses on micropatterned host cells. Biotechnology and Bioengineering. 81: 719-25. PMID 12529886 DOI: 10.1002/Bit.10516  0.781
2003 Teixeira AI, Abrams GA, Murphy CJ, Nealey PF. Cell behavior on lithographically defined nanostructured substrates Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 21: 683. DOI: 10.1116/1.1545753  0.618
2003 Wang Q, Nealey PF, Pablo JJd. Behavior of single nanoparticle/homopolymer chain in ordered structures of diblock copolymers Journal of Chemical Physics. 118: 11278-11285. DOI: 10.1063/1.1575207  0.333
2003 Wang Q, Nealey PF, Pablo JJD. Simulations of the Morphology of Cylinder-Forming Asymmetric Diblock Copolymer Thin Films on Nanopatterned Substrates Macromolecules. 36: 1731-1740. DOI: 10.1021/Ma020996T  0.425
2003 Solak HH, David C, Gobrecht J, Golovkina V, Cerrina F, Kim SO, Nealey PF. Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering. 67: 56-62. DOI: 10.1016/S0167-9317(03)00059-5  0.325
2003 Stoykovich MP, Cao HB, Yoshimoto K, Ocola LE, Nealey PF. Deformation of nanoscopic polymer structures in response to well-defined capillary forces Advanced Materials. 15: 1180-1184. DOI: 10.1002/Adma.200305059  0.772
2002 Pawloski AR, Nealey PF. Effect of photoacid generator concentration on sensitivity, photoacid generation, and deprotection of chemically amplified resists Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 2413-2420. DOI: 10.1116/1.1525811  0.703
2002 Pawloski AR, Christian, Nealey PF. Micromolar concentrations of base quenchers impact the apparent efficiency of photoacid generation in chemically amplified resists Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 2162-2168. DOI: 10.1116/1.1511217  0.7
2002 Wang Q, Nealey PF, Pablo JJD. Lamellar Structures of Symmetric Diblock Copolymers: Comparisons between Lattice Monte Carlo Simulations and Self-Consistent Mean-Field Calculations Macromolecules. 35: 9563-9573. DOI: 10.1021/Ma0203905  0.311
2002 Yang XM, Peters RD, Nealey PF. Imaging the Substrate/Film Interface of Thin Films of Diblock Copolymers on Chemically Patterned Surfaces Macromolecules. 35: 2406-2409. DOI: 10.1021/Ma0111966  0.4
2002 Peters RD, Yang XM, Nealey PF. Morphology of Thin Films of Diblock Copolymers on Surfaces Micropatterned with Regions of Different Interfacial Energy Macromolecules. 35: 1822-1834. DOI: 10.1021/Ma011003E  0.427
2002 Peters RD, Nealey PF, Crain JN, Himpsel FJ. A near edge X-ray absorption fine structure spectroscopy investigation of the structure of self-assembled films of octadecyltrichlorosilane Langmuir. 18: 1250-1256. DOI: 10.1021/La011198J  0.38
2002 Pawloski AR, Christian, Nealey PF. The multifunctional role of base quenchers in chemically amplified photoresists Chemistry of Materials. 14: 4192-4201. DOI: 10.1021/Cm0200947  0.711
2002 Kim S, Teixeira A, Nealey P, Wendt A, Abbott N. Fabrication of Polymeric Substrates with Well-Defined Nanometer-Scale Topography and Tailored Surface Chemistry Advanced Materials. 14: 1468-1472. DOI: 10.1002/1521-4095(20021016)14:20<1468::Aid-Adma1468>3.0.Co;2-H  0.609
2001 Tate RS, Fryer DS, Paqualini S, Montague MF, De Pablo JJ, Nealey PF. Extraordinary elevation of the glass transition temperature of thin polymer films grafted to silicon oxide substrates Journal of Chemical Physics. 115: 9982-9990. DOI: 10.1063/1.1415497  0.811
2001 Clear SC, Nealey PF. Effect of chain density on the frictional behavior of surfaces modified with alkylsiloxanes and immersed in n-alcohols Journal of Chemical Physics. 114: 2802-2811. DOI: 10.1063/1.1321036  0.787
2001 Fryer DS, Peters RD, Kim EJ, Tomaszewski JE, De Pablo JJ, Nealey PF, White CC, Wu WL. Dependence of the glass transition temperature of polymer films on interfacial energy and thickness Macromolecules. 34: 5627-5634. DOI: 10.1021/Ma001932Q  0.415
2001 Wang Q, Nealey PF, Pablo JJD. Monte Carlo Simulations of Asymmetric Diblock Copolymer Thin Films Confined between Two Homogeneous Surfaces Macromolecules. 34: 3458-3470. DOI: 10.1021/Ma0018751  0.392
2001 Yang XM, Peters RD, Kim TK, Nealey PF, Brandow SL, Chen M, Shirey LM, Dressick WJ. Proximity X-ray lithography using self-assembled alkylsiloxane films: Resolution and pattern transfer Langmuir. 17: 228-233. DOI: 10.1021/La001176H  0.449
2001 Clear SC, Nealey PF. Lateral force microscopy study of the frictional behavior of self-assembled monolayers of octadecyltrichlorosilane on silicon/silicon dioxide immersed in n-alcohols Langmuir. 17: 720-732. DOI: 10.1021/La000650G  0.788
2001 Pawloski AR, Christian, Nealey PF. A standard addition technique to quantify photoacid generation in chemically amplified photoresist Chemistry of Materials. 13: 4154-4162. DOI: 10.1021/Cm010529A  0.698
2000 Torres JA, Nealey PF, de Pablo JJ. Molecular simulation of ultrathin polymeric films near the glass transition. Physical Review Letters. 85: 3221-4. PMID 11019306 DOI: 10.1103/Physrevlett.85.3221  0.553
2000 Franco M, Nealey PF, Campbell S, Teixeira AI, Murphy CJ. Adhesion and proliferation of corneal epithelial cells on self-assembled monolayers. Journal of Biomedical Materials Research. 52: 261-9. PMID 10951364 DOI: 10.1002/1097-4636(200011)52:2<261::Aid-Jbm4>3.0.Co;2-2  0.594
2000 Abrams GA, Goodman SL, Nealey PF, Franco M, Murphy CJ. Nanoscale topography of the basement membrane underlying the corneal epithelium of the rhesus macaque. Cell and Tissue Research. 299: 39-46. PMID 10654068 DOI: 10.1007/S004419900074  0.31
2000 Fryer DS, Nealey PF, De Pablo JJ. Scaling of Tg and reaction rate with film thickness in photoresist: A thermal probe study Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 18: 3376-3380. DOI: 10.1116/1.1324621  0.323
2000 Cao HB, Nealey PF, Domke W. Comparison of resist collapse properties for deep ultraviolet and 193 nm resist platforms Journal of Vacuum Science & Technology B. 18: 3303-3307. DOI: 10.1116/1.1321280  0.559
2000 Peters RD, Yang XM, Wang Q, Pablo JJd, Nealey PF. Combining advanced lithographic techniques and self-assembly of thin films of diblock copolymers to produce templates for nanofabrication Journal of Vacuum Science & Technology B. 18: 3530-3534. DOI: 10.1116/1.1313572  0.476
2000 Wang Q, Nath SK, Graham MD, Nealey PF, Pablo JJd. Symmetric diblock copolymer thin films confined between homogeneous and patterned surfaces: Simulations and theory Journal of Chemical Physics. 112: 9996-10010. DOI: 10.1063/1.481635  0.399
2000 Wang Q, Yan Q, Nealey PF, Pablo JJd. Monte Carlo simulations of diblock copolymer thin films confined between two homogeneous surfaces Journal of Chemical Physics. 112: 450-464. DOI: 10.1063/1.480639  0.39
2000 Yang XM, Peters RD, Nealey PF, Solak HH, Cerrina F. Guided self-assembly of symmetric diblock copolymer films on chemically nanopatterned substrates Macromolecules. 33: 9575-9582. DOI: 10.1021/Ma001326V  0.483
2000 Peters RD, Yang XM, Kim TK, Sohn aBH, Nealey PF. Using Self-Assembled Monolayers Exposed to X-rays To Control the Wetting Behavior of Thin Films of Diblock Copolymers Langmuir. 16: 4625-4631. DOI: 10.1021/La991500C  0.451
2000 Peters RD, Yang XM, Kim aTK, Nealey PF. Wetting Behavior of Block Copolymers on Self-Assembled Films of Alkylchlorosiloxanes: Effect of Grafting Density Langmuir. 16: 9620-9626. DOI: 10.1021/La000822+  0.428
2000 Kim TK, Yang XM, Peters RD, Sohn BH, Nealey PF. Chemical Modification of Self-Assembled Monolayers by Exposure to Soft X-rays in Air The Journal of Physical Chemistry B. 104: 7403-7410. DOI: 10.1021/Jp000145S  0.546
2000 Black AJ, Nealey PF, Thywissen JH, Deshpande M, El-Zein N, Maracas GN, Prentiss M, Whitesides GM. Microfabrication of two layer structures of electrically isolated wires using self-assembly to guide the deposition of insulating organic polymer Sensors and Actuators, a: Physical. 86: 96-102. DOI: 10.1016/S0924-4247(00)00422-2  0.399
1999 Flemming RG, Murphy CJ, Abrams GA, Goodman SL, Nealey PF. Effects of synthetic micro- and nano-structured surfaces on cell behavior. Biomaterials. 20: 573-88. PMID 10213360 DOI: 10.1016/S0142-9612(98)00209-9  0.318
1999 Clear SC, Nealey PF. Chemical Force Microscopy Study of Adhesion and Friction between Surfaces Functionalized with Self-Assembled Monolayers and Immersed in Solvents. Journal of Colloid and Interface Science. 213: 238-250. PMID 10191026 DOI: 10.1006/jcis.1999.6139  0.792
1999 Pawloski AR, Torres JA, Nealey PF, Pablo JJd. Applications of molecular modeling in nanolithography Journal of Vacuum Science & Technology B. 17: 3371-3378. DOI: 10.1116/1.591013  0.74
1999 Yang XM, Peters RD, Kim TK, Nealey PF. Patterning of self-assembled monolayers with lateral dimensions of 0.15 μm using advanced lithography Journal of Vacuum Science & Technology B. 17: 3203-3207. DOI: 10.1116/1.590980  0.41
1999 Nath SK, Nealey PF, Pablo JJd. Density functional theory of molecular structure for thin diblock copolymer films on chemically heterogeneous surfaces Journal of Chemical Physics. 110: 7483-7490. DOI: 10.1063/1.478651  0.434
1999 Solak HH, He D, Li W, Singh-Gasson S, Cerrina F, Sohn BH, Yang XM, Nealey P. Exposure of 38 nm period grating patterns with extreme ultraviolet interferometric lithography Applied Physics Letters. 75: 2328-2330. DOI: 10.1063/1.125005  0.547
1996 Hidber PC, Nealey PF, Helbig W, Whitesides GM. New Strategy for Controlling the Size and Shape of Metallic Features Formed by Electroless Deposition of Copper:  Microcontact Printing of Catalysts on Oriented Polymers, Followed by Thermal Shrinkage Langmuir. 12: 5209-5215. DOI: 10.1021/La960238U  0.396
1994 Nealey PF, Cohen RE, Argon AS. Effect of Gas Pressure on the Solubility and Diffusion of Polybutadiene in Polystyrene Macromolecules. 27: 4193-4197. DOI: 10.1021/Ma00093A021  0.533
1993 Nealey PF, Cohen RE, Argon AS. Solubility and diffusion of polybutadiene in polystyrene at elevated temperatures Macromolecules. 26: 1287-1292. DOI: 10.1021/Ma00058A015  0.561
Show low-probability matches.