Christos Kozyrakis - Publications

Affiliations: 
Electrical Engineering Stanford University, Palo Alto, CA 
Area:
Computer Architecture, Computer Systems, Cloud Computing
Website:
https://web.stanford.edu/~kozyraki/

34 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Nagendra NP, Ayers G, August DI, Cho HK, Kanev S, Kozyrakis C, Krishnamurthy T, Litz H, Moseley T, Ranganathan P. AsmDB: Understanding and Mitigating Front-End Stalls in Warehouse-Scale Computers Ieee Micro. 40: 56-63. DOI: 10.1109/Mm.2020.2986212  0.367
2020 Kozyrakis C, Bratt I. The Hot Chips Renaissance Ieee Micro. 40: 6-7. DOI: 10.1109/Mm.2020.2977409  0.324
2018 Sfakianakis Y, Kozanitis C, Kozyrakis C, Bilas A. QuMan : Profile-based Improvement of Cluster Utilization Acm Transactions On Architecture and Code Optimization. 15: 27. DOI: 10.1145/3210560  0.377
2018 Delimitrou C, Kozyrakis C. Uncovering the Security Implications of Cloud Multi-Tenancy with Bolt Ieee Micro. 38: 86-97. DOI: 10.1109/Mm.2018.032271065  0.376
2018 Prabhakar R, Zhang Y, Koeplinger D, Feldman M, Zhao T, Hadjis S, Pedram A, Kozyrakis C, Olukotun K. Plasticine: A Reconfigurable Accelerator for Parallel Patterns Ieee Micro. 38: 20-31. DOI: 10.1109/Mm.2018.032271058  0.456
2017 Belay A, Prekas G, Primorac M, Klimovic A, Grossman S, Kozyrakis C, Bugnion E. The IX Operating System: Combining Low Latency, High Throughput, and Efficiency in a Protected Dataplane Acm Transactions On Computer Systems. 34: 11. DOI: 10.1145/2997641  0.366
2017 Gao M, Delimitrou C, Niu D, Malladi KT, Zheng H, Brennan B, Kozyrakis C. DRAF: A Low-Power DRAM-Based Reconfigurable Acceleration Fabric Ieee Micro. 37: 70-78. DOI: 10.1109/Mm.2017.50  0.365
2016 Lo D, Cheng L, Govindaraju R, Ranganathan P, Kozyrakis C. Improving Resource Efficiency at Scale with Heracles Acm Transactions On Computer Systems. 34: 6. DOI: 10.1145/2882783  0.385
2016 Delimitrou C, Kozyrakis C. Security Implications of Data Mining in Cloud Scheduling Ieee Computer Architecture Letters. 15: 109-112. DOI: 10.1109/Lca.2015.2461215  0.388
2015 Qadeer W, Hameed R, Shacham O, Venkatesan P, Kozyrakis C, Horowitz M. Convolution engine: Balancing efficiency and flexibility in specialized computing Communications of the Acm. 58: 85-93. DOI: 10.1145/2735841  0.386
2015 Sabry Aly MM, Gao M, Hills G, Lee C, Pitner G, Shulaker MM, Wu TF, Asheghi M, Bokor J, Franchetti F, Goodson KE, Kozyrakis C, Markov I, Olukotun K, Pileggi L, et al. Energy-Efficient Abundant-Data Computing: The N3XT 1,000x Computer. 48: 24-33. DOI: 10.1109/Mc.2015.376  0.43
2014 Delimitrou C, Kozyrakis C. Quality-of-service-aware scheduling in heterogeneous data centers with paragon Ieee Micro. 34: 17-30. DOI: 10.1109/Mm.2014.7  0.42
2013 Delimitrou C, Kozyrakis C. QoS-aware scheduling in heterogeneous datacenters with paragon Acm Transactions On Computer Systems. 31. DOI: 10.1145/2556583  0.426
2013 Delimitrou C, Kozyrakis C. The netflix challenge: Datacenter edition Ieee Computer Architecture Letters. 12: 29-32. DOI: 10.1109/L-Ca.2012.10  0.392
2013 Kazandjieva M, Heller B, Gnawali O, Levis P, Kozyrakis C. Measuring and analyzing the energy use of enterprise computing systems Sustainable Computing: Informatics and Systems. 3: 218-229. DOI: 10.1016/J.Suscom.2013.01.009  0.334
2012 Ahn JH, Jouppi NP, Kozyrakis C, Leverich J, Schreiber RS. Improving system energy efficiency with memory rank subsetting Transactions On Architecture and Code Optimization. 9. DOI: 10.1145/2133382.2133386  0.46
2012 Sanchez D, Kozyrakis C. Scalable and efficient fine-grained cache partitioning with vantage Ieee Micro. 32: 26-37. DOI: 10.1109/Mm.2012.19  0.376
2012 Delimitrou C, Sankar S, Vaid K, Kozyrakis C. Decoupling datacenter storage studies from access to large-scale applications Ieee Computer Architecture Letters. 11: 53-56. DOI: 10.1109/L-Ca.2011.37  0.418
2011 Hameed R, Qadeer W, Wachs M, Azizi O, Solomatnikov A, Lee BC, Richardson S, Kozyrakis C, Horowitz M. Understanding sources of inefficiency in general-purpose chips Communications of the Acm. 54: 85-93. DOI: 10.1145/2001269.2001291  0.358
2011 Ousterhout J, Agrawal P, Erickson D, Kozyrakis C, Leverich J, Mazières D, Mitra S, Narayanan A, Ongaro D, Parulkar G, Rosenblum M, Rumble SM, Stratmann E, Stutsman R. The case for RAMC loud Communications of the Acm. 54: 121-130. DOI: 10.1145/1965724.1965751  0.353
2010 Dalton M, Kannan H, Kozyrakis C. Tainting is not pointless Operating Systems Review (Acm). 44: 88-92. DOI: 10.1145/1773912.1773933  0.367
2010 Sanchez D, Michelogiannakis G, Kozyrakis C. An analysis of on-chip interconnection networks for large-scale chip multiprocessors Transactions On Architecture and Code Optimization. 7. DOI: 10.1145/1756065.1736069  0.419
2010 Ousterhout J, Agrawal P, Erickson D, Kozyrakis C, Leverich J, Mazières D, Mitra S, Narayanan A, Parulkar G, Rosenblum M, Rumble SM, Stratmann E, Stutsman R. The case for RAMClouds: scalable high-performance storage entirely in DRAM Operating Systems Review. 43: 92-105. DOI: 10.1145/1713254.1713276  0.416
2010 Kozyrakis C, Kansal A, Sankar S, Vaid K. Server engineering insights for Large-Scale online services Ieee Micro. 30: 8-19. DOI: 10.1109/Mm.2010.73  0.302
2010 Leverich J, Monchiero M, Talwar V, Ranganathan P, Kozyrakis C. Power management of datacenter workloads using per-core power gating Ieee Computer Architecture Letters. 8: 48-51. DOI: 10.1109/L-Ca.2009.46  0.352
2009 Kozyrakis C, van de Waerdt JW. Hot chips turns 20 Ieee Micro. 29: 4-5. DOI: 10.1109/Mm.2009.31  0.32
2008 Leverich J, Arakida H, Solomatnikov A, Firoozshahian A, Horowitz M, Kozyrakis C. Comparative evaluation of memory models for chip multiprocessors Transactions On Architecture and Code Optimization. 5. DOI: 10.1145/1455650.1455651  0.513
2007 Guo F, Kannan H, Zhao L, Illikkal R, Iyer R, Newell D, Solihin Y, Kozyrakis C. From chaos to QoS Acm Sigarch Computer Architecture News. 35: 21-30. DOI: 10.1145/1241601.1241608  0.432
2007 Wawrzynek J, Patterson D, Oskin M, Lu SL, Kozyrakis C, Hoe JC, Chiou D, Asanović K. RAMP: Research accelerator for multiple processors Ieee Micro. 27: 46-57. DOI: 10.1109/Mm.2007.39  0.553
2007 McDonald A, Carlstrom BD, Chung JW, Minh CC, Chafi H, Kozyrakis C, Olukotun K. Transactional memory: The hardware-software interface Ieee Micro. 27: 67-76. DOI: 10.1109/Mm.2007.26  0.623
2007 Rivoire S, Shah MA, Ranganathan P, Kozyrakis C, Meza J. Models and metrics to enable energy-efficiency optimizations Computer. 40: 39-40. DOI: 10.1109/Mc.2007.436  0.316
2006 Adl-Tabatabai A, Kozyrakis C, Saha B. Unlocking Concurrency Acm Queue. 4: 24-33. DOI: 10.1145/1189276.1189288  0.422
2006 Carlstrom BD, Chung J, Chafi H, McDonald A, Minh CC, Hammond L, Kozyrakis C, Olukotun K. Executing Java programs with transactional memory Science of Computer Programming. 63: 111-129. DOI: 10.1016/J.Scico.2006.05.006  0.6
1997 Patterson D, Anderson T, Cardwell N, Fromm R, Keeton K, Kozyrakis C, Thomas R, Yelick K. A case for intelligent ram Ieee Micro. 17: 34-43. DOI: 10.1109/40.592312  0.52
Show low-probability matches.