Kypros Constantinides, Ph.D. - Publications

Affiliations: 
2009 University of Michigan, Ann Arbor, Ann Arbor, MI 
Area:
Computer Science

12 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2015 Putnam A, Caulfield AM, Chung ES, Chiou D, Constantinides K, Demme J, Esmaeilzadeh H, Fowers J, Gopal GP, Gray J, Haselman M, Hauck S, Heil S, Hormati A, Kim JY, et al. A Reconfigurable Fabric for Accelerating Large-Scale Datacenter Services Ieee Micro. 35: 10-22. DOI: 10.1145/2996868  0.331
2014 Putnam A, Caulfield AM, Chung ES, Chiou D, Constantinides K, Demme J, Esmaeilzadeh H, Fowers J, Gopal GP, Gray J, Haselman M, Hauck S, Heil S, Hormati A, Kim JY, et al. A reconfigurable fabric for accelerating large-scale datacenter services Proceedings - International Symposium On Computer Architecture. 13-24. DOI: 10.1109/ISCA.2014.6853195  0.331
2010 Constantinides K, Austin T. Using introspective software-based testing for post-silicon debug and repair Proceedings - Design Automation Conference. 537-542. DOI: 10.1145/1837274.1837407  0.533
2009 Constantinides K, Mutlu O, Austin T, Bertacco V. A flexible software-based framework for online detection of hardware defects Ieee Transactions On Computers. 58: 1063-1079. DOI: 10.1109/Tc.2009.52  0.35
2008 Constantinides K, Mutlu O, Austin T. Online design bug detection: RTL analysis, flexible mechanisms, and evaluation Proceedings of the Annual International Symposium On Microarchitecture, Micro. 282-293. DOI: 10.1109/MICRO.2008.4771798  0.38
2008 Pellegrini A, Constantinides K, Zhang D, Sudhakar S, Bertacco V, Austin T. Crash test: A fast High-Fidelity FPGA-Based resiliency analysis framework 26th Ieee International Conference On Computer Design 2008, Iccd. 363-370. DOI: 10.1109/ICCD.2008.4751886  0.581
2007 Constantinides K, Plaza S, Blome J, Bertacco V, Mahlke S, Austin T, Zhang B, Orshansky M. Architecting a reliable CMP switch architecture Acm Transactions On Architecture and Code Optimization. 4: 2. DOI: 10.1145/1216544.1216545  0.352
2007 Constantinides K, Mutlu O, Austin T, Bertacco V. Software-based online detection of hardware defects: Mechanisms, architectural support, and evaluation Proceedings of the Annual International Symposium On Microarchitecture, Micro. 97-108. DOI: 10.1109/MICRO.2007.34  0.622
2007 Racunas P, Constantinides K, Manne S, Mukherjee SS. Perturbation-based fault screening Proceedings - International Symposium On High-Performance Computer Architecture. 169-180. DOI: 10.1109/HPCA.2007.346195  0.447
2007 Mehrara M, Attariyan M, Bertacco V, Shyam S, Austin T, Constantinides K. Low-cost protection for ser upsets and silicon defects Proceedings -Design, Automation and Test in Europe, Date. 1146-1151. DOI: 10.1109/DATE.2007.364449  0.527
2006 Shyam S, Constantinides K, Phadke S, Bertacco V, Austin T. Ultra low-cost defect protection for microprocessor pipelines International Conference On Architectural Support For Programming Languages and Operating Systems - Asplos. 73-82. DOI: 10.1145/1168857.1168868  0.438
2006 Constantinides K, Plaza S, Blome J, Zhang B, Bertacco V, Mahlke S, Austin T, Orshansky M. Bulletproof: A defecttolerant CMP switch architecture Proceedings - International Symposium On High-Performance Computer Architecture. 2006: 3-14. DOI: 10.1109/HPCA.2006.1598108  0.624
Show low-probability matches.